Thread: Anandtech News

  1. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10251

    Anandtech: HTC Cuts Price of Vive Pro VR Headset to $599

    HTC this month has reduced the price of its Vive Pro VR headset by $200, bringing the pricetag of the HMD down to $599. The VR headset is now slightly more expensive than the original Vive and is cheaper than the Vive Cosmos, which started sales last October.
    HTC’s Vive Pro released roughly two years after the original Vive and while it was not a full generational update, it featured a considerably higher combined resolution of 2880x1600 at 90 Hz refresh rate, as well as a revamped design for increased comfort. Originally priced at $799, HTC’s Vive Pro VR headset was aimed at a mix of professional VR developers and users who needed a more robust headset with more support options, as well as virtual reality enthusiasts who demanded the best experience possible.
    After the release of the Vive Cosmos headset last October, Vive Pro’s appeal naturally decreased. The newer model offers similar image quality, a built-in inside-out 6-degree-of-freedom (6DoF) positional tracking system, and numerous other innovations, but at a $100 lower price point (when compared to the Vive Pro). With its price cut, HTC seems to be addressing this inconsistency.
    For those who already have Vive controllers and SteamVR Base Station 1.0/2.0 tracking devices, the Vive Pro still makes a lot of sense, so HTC will keep selling it for $599 for a while. Meanwhile, the top-end kit with two Base Station 2.0s and two controllers is priced at $1,199.
    Related Reading:


    Source: HTC


    More...

  2. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10252

    Anandtech: Samsung’s Odyssey Continues: Ultra-Curved QLED 49-Inch 240 Hz HDR1000 Moni

    Nowadays, you can barely impress a gamer with just a curved display. So when Samsung started development of its new Odyssey G9 and Odyssey G7 gaming monitors, it decided to make them ultra-curved, ultra-fast, ultra-bright, and ultra-futuristic. As a result, the new Odyssey LCDs for gamers feature a unique combination of a 1000R curvature, a quantum dot enhanced backlighting, and variable refresh rate support up to 240 Hz.
    Samsung’s Odyssey gaming displays lineup includes three models: the 49-inch G9 featuring a 32:9 aspect ratio and a 5120×1440 resolution, as well as the 32-inch and 27-inch G7s featuring a 16:9 aspect ratio and a 2560×1440 resolution. All three monitors use a VA panel with a QLED (quantum dot-enhanced LED) backlighting that enables 600 nits or 1000 nits peak brightness, along with a wide color gamut (see general specifications of the displays in the table below).
    From a gamer’s perspective, the key features of the Samsung Odyssey displays are their 240 Hz refresh rate, complete with variable refresh rate support. Samsung's specifications don't make this entirely clear, but it looks like the display uses VESA Adaptive Sync, meaning that it's supported with both AMD and NVIDIA GPUs.
    Meanwhile, the monitor also sports a 1000R curvature that promises to enable better immersion when compared to regular curved LCDs.
    Since the Odyssey monitors are designed for gamers, they feature an ultra-futuristic design along with LED-based lighting on the back to emphasize features of the design and just follow the general industrial trend.
    General Specs of Samsung's Odyssey Displays with Variable Refresh
    Odyssey G9
    49-Inch
    Odyssey G7
    32-Inch
    Odyssey G7
    27-Inch
    Panel 49" VA 32" VA 27" VA
    Native Resolution 5120 × 1440 2560 × 1440
    Maximum Refresh Rate 240 Hz
    Response Time 1 ms 1 ms 1 ms
    Brightness 1000 cd/m² 600 cd/m²
    Contrast high high high
    Backlighting LED w/Quantum Dots
    Viewing Angles 178°/178° horizontal/vertical
    Curvature 1000R
    Aspect Ratio 32:9 (3.56:1) 16:9
    Color Gamut DCI-P3
    sRGB
    Dynamic Refresh Rate Tech VESA Adaptive-Sync
    (NVIDIA G-Sync Compatible)
    Pixel Pitch 0.234 mm² 0.2767mm² 0.2335 mm²
    Pixel Density 108.54 PPI 91.79 PPI 108.79 PPI
    Inputs DisplayPort
    HDMI
    DisplayPort
    HDMI
    DisplayPort
    HDMI
    Audio ? ? ?
    USB Hub ? ? ?
    MSRP ? ? ?
    Samsung will make its Odyssey G9 and Odyssey G7 displays available sometimes in early second quarter, which is why the company does not publish all specifications and characteristics of the products just now. Prices of the monitors will be revealed at launch.

    Sources: Samsung Canada, Samsung India, Samsung U.S., TFT Central



    More...

  3. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10253

    Anandtech: CES 2020: Ambarella Showcases CV2, CV22 and CV25 Demos

    Amongst the many showcases at CES 2020 was Ambarella’s newest demo line-up showcasing various solutions using the CV2, CV22 and also demonstrating new platforms based on the newly announced CV2FS and CV22FS automotive camera SoCs.
    For readers unfamiliar with Ambarella, the company came to be known through its success in providing the silicon inside solid state handheld camcorders as well as sports cameras such as the GoPro Hero line. Over the years the company has shifted its product towards more specialized use-cases, now claiming to be a top vendor in vision solutions and also leading the charge in terms of delivering solutions for automotive platforms.
    Continued Success with CV2 and CV22

    The CV2 and CV22 solutions were announced at last year’s CES and continue to represent key solutions and offerings for the company for 2020. Amongst the more interesting demos they showcased this year was a direct comparison against a competitor solution demonstrating performance and power efficiency advantages of the CV2 platform:
    The CV2 development board here was put up against a Nvidia AGX running an object detection workload. Both platforms showcased similar performance in holding 60fps (~13.2ms for the AGX vs 16.9ms for the CV2 in terms of inference time), although with the Nvidia platform using 32W of power versus only 6.9W for the CV2 demo. We had a look at the AGX last year and found for similar inferencing workloads using Nvidia’s demonstration software to be around 13-16W in power consumption, so it’s possible Ambarella’s demo implementation wasn’t using the AGX to its most efficient potential.

    CV22 Dev Board
    The company showcased more partnerships with various companies bringing using the CV2 and CV22 platforms, including a collaboration with AWS’s SageMaker Neo platform to help train ML models in the cloud and to deploy them into edge devices using the Ambarella CV SoCs.

    CV22 Dev Board
    Alongside a partnership with AnyVision to bring retail analytics (heat maps, traffic analysis, person detection, recognition & counting in commercial and retail shops), one very interesting demo was a showcased enabled with Mercedes-Benz in what they call a “Cargo Recognition and Organisation System” (CoROS), in which there’s a CV2 device and camera at the top of the back door of a delivery van able to scan loaded and unloaded packages. When scanning a specific package when loading, it’s able to highlight the most optimal shelf location within the delivery van with help of LED strips on the shelves, optimising the package arrangement for the best loading and unloading experience depending on the delivery routes. The system was extremely straightforward in its implementation and only required a single higher resolution camera installation to be able to read out package barcodes effectively, it definitely felt like a killer use-case for computer vision solutions.
    Automotive: Continued Development and New ASIL B CV2FS and CV22FS SoCs

    In terms of the automotive showcases, we’ve seen continued refinements on the software side of the automotive products with partnership with companies such as HELLA-Aglaia.

    Front-Facing ADAS System Demo (newer 360° system was in another car)
    Although we couldn’t test it during daytime in our CES schedule, the most interesting demonstration the company had showcased was a fully autonomous vehicle demo using only CV2 chipsets and various camera systems. Ambarella prided itself in the ability to have the system working in both day and night – the latter being a lot more complex to implement in a pure CV system without LIDAR.
    The new product announcements this year were in the form of the CV2FS and CV22FS – essentially these are brand-new designs based on the CV2 and CV22 capabilities, now offering full ASIL B functional safety compliance as well as automotive grade qualification such as AEC-Q100 grade 2 compliance (-40 to +125°C operating temperatures).
    CV25 For Mainstream Cameras And Consumer Devices

    On the consumer camera front we didn’t see any newer announcements, and as such the CV25 continues to be Ambarella’s main product for consumer and security camera applications.

    RGB-IR combo camera sensor vs regular IR camera system in low-light
    One more interesting development was the announcement of a partnership with ON Semiconductor in bringing to market a new RGB-IR camera sensor that has the ability to capture information both in regular colour RGB spectrum as well as in the IR spectrum. Ambarella’s ISP is able to support the format and merge the data together, achieving some very interesting new capabilities in terms of low-light capture. The feature seemingly seems like another killer use-case to be implemented in security cameras in the future.


    More...

  4. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10254

    Anandtech: CES 2020: HP Unveils Advanced Docking Monitors w/ Webcam, GbE, USB-C PD

    HP has introduced a new series of displays with docking capabilities that have been developed with corporate and business customers in mind. The HP E24D G4 and HP E27D G4 support high-end docking capabilities that we've come to expect from a modern LCD, along with multiple network manageability features that are required by the target audience.
    HP’s E24D G4 and E27D G4 advanced docking monitors use IPS panels with diagonals of 23.8-inches and 27-inches, offering Full-HD (1920×1080) and Quad-HD (2560×1440) resolutions respectively. The displays offer 250 or 300 nits brightness, a 1000:1 contrast ratio, a 5 ms GtG response time, and a 60 Hz or 75 Hz refresh rate. Both monitors have very thin bezels to simplify usage of multi-display configurations. Exact specifications of the LCDs are in the table below.
    As their names suggest, the key selling points of the E24D G4 and E27D G4 monitors are their advanced docking capabilities that include a GbE port, a pop-up webcam, DisplayPort input and output to daisy chain another LCD, a quad-port USB 3.0 hub, and 100 W USB-C Power Delivery. In a bid to comply with requirements of corporate and business customers, HP enabled numerous network manageability features, including OCI, eTag, MAC address passthrough, PXE boot, WoL, and LAN/WLAN switching.
    Since the monitors are designed for offices where space is limited, they naturally come with an adjustable stand that can regulate height, tilt, and swivel. Also, the displays can work in portrait modes.
    HP’s E24D G4 and E27D G4 displays will be available directly from the company later this month for $349 and $479, respectively.
    Specifications of HP's Advanced Docking Displays
    HP E24D G4 HP E27D G4
    Panel 23.8" IPS 27" IPS
    Native Resolution 1920 × 1080 2560 × 1440
    Maximum Refresh Rate 60 Hz 75 Hz
    Response Time 5 ms GtG
    Brightness 250 cd/m² 300 cd/m²
    Contrast 1000:1
    Viewing Angles 178°/178° horizontal/vertical
    Pixel Pitch 0.2744 mm² 0.2335 mm²
    Pixel Density 92.56 ppi 108.79 ppi
    Display Colors ? ?
    Color Gamut Support ?
    Stand Height: ? mm
    Tilt: -5° to 20°
    Swivel: -?° to ?°
    Pivot: -90° to 90°
    Inputs 1 × DisplayPort 1.2 (+ DP 1.2 MST out)
    1 × HDMI 1.4
    1 × USB-C (DP 1.4 Alt Mode + 100 W Power Delivery)
    1 × USB-C (DP 1.2 Alt Mode + 100 W Power Delivery)
    USB Hub 4-port USB 3.0 (Type-A)
    Audio audio out port
    Power Idle 0.5 W 0.5 W
    Typical 70 W 80 W
    Peak 175 W 175 W
    Delivery 100 W 100 W
    Launch Price $349 $479
    Related Reading:


    Source: HP


    More...

  5. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10255

    Anandtech: The Corsair K95 RGB Platinum XT Mechanical Keyboard, For Gamers and Stream

    With Corsair acquiring new brands at a steady pace, including Origin PC, Elgato, and more recently, Scuf, the future looks bright for Corsair's gaming division. A major part of the acquisition process is taking advantage of Corsair's collection of technologies to develop products they couldn't before, and the company's latest mechanical keyboard, the K95 RGB Platinum XT, is a prime example of that. The high-end keyboard integrates Elgato's Stream Deck software, which makes it ideal for gamers and streamers alike.
    The Corsair K95 RGB Platinum XT builds upon the popularity and success of the 2017 K95, offering individual per-key RGB backlighting with a choice of three Cherry MX switch types. These include Cherry MX Speed silver, brown, and blues, with each key certified to withstand up to 10 million key presses. This is a significant upgrade in Cherry's quality assurance, and the K95 RGB Platinum XT is the first mechanical keyboard to feature these newly tested switches. Each keycap is made from double-shot PBT, which is the premium keycap on the market at present, with a total of 111 keys, including a Numpad making this a full-size keyboard.
    Integrated into the quality aluminium frame is a detachable PU leather wrist rest which Corsair says is to offer better ergonomics. Down the right-hand side is six macro keys which are designed to work with the bundled Elgato Stream Deck software. These work in a similar way to the buttons on the Elgato Stream Deck and can be customized to provide many different functions for streamers. Shipped in the accessories bundle is a set of blue keycaps for the macro keys, should users wish to alter the overall look of the keyboard.
    The Corsair K95 RGB Platinum XT has an MSRP of $200, and is available at all major retailers, including Corsair's own website.
    Gallery: The Corsair K95 RGB Platinum XT Mechanical Keyboard, For Gamers and Streamers




    More...

  6. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10256

    Anandtech: CES 2020: LG’s New 8K TVs Use ?9 Gen 3 SoC with AV1 Decoding & AI Support

    Modern smart televisions do much more than just display broadcasted programs, so these days TV makers need to equip them with powerful applications processors to decode HD and Ultra-HD content, as well as handle other complex tasks. To that end, LG has revealed that it plans to use its new α9 Gen 3 SoC for its latest Signature OLED and NanoCell 8K televisions set to be available this year.
    LG’s latest α9 Gen 3 processor supports playback of 8Kp60 content encoded using HEVC, VP9, and particularly important going forward, the recently released AV1 codec. But since 8K videos are not common just yet, the SoC supports LG’s AI 8K Upscaling algorithm that relies on its machine learning capabilities to analyze videos it upscales and properly apply Quad Step Noise Reduction and frequency-based Sharpness Enhancer.

    In addition to intelligent upscaling, LG’s new 8K TVs also support AI Picture Pro technology to correctly enhance sharpening and skin tones as well as Auto Genre Selection to apply general picture settings common for a particular type of content. Also, the televisions monitor background noises and adjust their 5.1 audio subsystems accordingly.

    High-end televisions from LG are based on the webOS operating system and therefore the company can add support for new features just by installing appropriate applications. The 2020 Signature OLED and NanoCell 8K TV’s support LG’s Home Dashboard to control IoT using Hands-Free Voice Control (enabled by ThinQ voice recognition). Also the webOS supports a host of third-party voice-based services, including Apple’s AirPlay 2 and HomeKit, Amazon’s Alexa, and Google’s Assistant. In addition, it can access a variety of content streaming services, such as Apple TV/Apple TV+, Disney+, and Netflix.
    LG’s 2020 8K television lineup includes 88 and 77-inch class Signature OLED TVs (models 88/77 OLED ZX) and NanoCell IPS TVs (models 75/65 Nano99, 75/65 Nano97, 75/65 Nano95). The OLED models will variable refresh rate support (including NVIDIA G-Sync Compatible certification) right out-of-box. The Ultra-HD TVs will be available in the coming months.
    Related Reading:


    Source: LG


    More...

  7. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10257

    Anandtech: Phison At CES 2020: Preparing For QLC To Go Mainstream

    NAND flash memory prices are projected to climb in 2020. The manufacturing transitions to 96-layer 3D NAND and beyond are not going to increase bit output as quickly as demand will be growing. This will be a major change from the NAND oversupply that caused price crashes in 2018 and into 2019.
    SSD controller vendor Phison is betting that increasing prices will finally push the consumer SSD market to embrace 4 bit per cell QLC NAND flash memory, which thus far has seen only limited success in the retail SSD market and virtually no adoption from PC OEMs. The price premium for SSDs with 3 bit per cell TLC NAND has been small or non-existent across all market segments, so the performance and endurance advantages of sticking with TLC NAND have been worthwhile. Those days may be coming to an end. Phison expects—quite reasonably—that when NAND flash memory supplies are constrained the bulk of the TLC NAND manufactured will be snatched up by the higher-paying enterprise SSD customers, more or less forcing the consumer SSD market to start shifting toward using QLC as the mainstream option.
    In preparation for this shift, Phison is making sure that their full controller lineup is ready to work with QLC NAND. That means tuning the controller firmware to make the best of the lower performance of TLC NAND. For the OEM market in particular, they also have to update any of the older controllers whose error-correction capabilities aren't up to the task of supporting a 5-year warranty with the lower endurance of QLC.
    Phison's hardware roadmap hasn't changed significantly from what we reported on after Flash Memory Summit. What is changing is how these controllers are being marketed. Phison has taken the unusual step of publishing performance specifications for most of their SSD controllers when paired with QLC NAND, rather than sticking with the TLC-based numbers that cast their controllers in a better light. Unfortunately, we're still getting numbers that are mostly based on testing at high queue depths and with durations short enough that the SLC cache is primarily what's being measured.
    When paired with QLC NAND, Phison's high-end NVMe controllers will now be using a full-range dynamic SLC cache size, similar to what we've seen with recent Silicon Motion controllers but quite different from how existing TLC-based Phison NVMe drives have behaved with small fixed-size SLC caches. Maximizing the SLC cache size reduces the odds of ever running out of cache during ordinary consumer use, but at the cost of a more drastic performance penalty when the cache does fill up: there's more SLC data that needs to be compacted into TLC/QLC, and less free TLC/QLC to work with when doing that compaction in the background while continuing to handle host IO commands. This tradeoff makes the most sense when using QLC NAND, because no matter how big or small the SLC cache is, things will be painfully slow should it ever run out.
    Phison NVMe SSD Controller
    QLC NAND Projected Performance
    E12 E16
    Host Interface PCIe 3.0 x4 PCIe 4.0 x4
    NAND Channels, Interface Speed 8 ch,
    667 MT/s
    8 ch,
    800 MT/s
    Capacity 1 TB 2 TB 4 TB 8 TB 1 TB 2 TB 4 TB
    SLC Cache Size Dynamic Dynamic
    Sequential Read 3.4 GB/s 4.7 GB/s 4.9 GB/s
    Sequential Write 2.0 GB/s 3.0 GB/s 1.9 GB/s 3.8 GB/s
    4KB Random Read IOPS 130k 255k 490k 170k 330k
    4KB Random Write IOPS 500k 680k 480k 800k
    The performance of Phison's current high-end NVMe controllers with QLC NAND will be quite a bit slower than the best achievable performance with TLC NAND. The reduction in random read performance will probably have the greatest impact. This is also where we see a requirement for much higher drive capacities in order to attain the best performance. Over the past two years, 1TB TLC-based SSDs have become quite affordable and are almost always large enough to offer the maximum performance a SSD controller can handle. If those price points have to switch over to QLC NAND this year, we'll see 1TB drives at a significant disadvantage compared to 2TB and 4TB models. Since NAND price increases will make it harder for consumers to jump up to higher capacities, we may see a real performance regression for the average mainstream consumer SSD, without any monetary savings as a consolation prize.
    Phison SATA SSD Controller
    QLC NAND Projected Performance
    S13T S12
    DRAM No Yes
    Capacity 480 GB 960 GB 1920 GB 1 TB, 2 TB, 4 TB, 8 TB, 12 TB, 16 TB
    SLC Cache Size 9 GB 18 GB 36 GB Dynamic
    Sequential Read 550 MB/s 550 MB/s
    Sequential Write 430 MB/s 490 MB/s 500 MB/s 530 MB/s
    4KB Random Read IOPS 35k 65k 90k 95k
    4KB Random Write IOPS 75k 85k 90k 90k
    Over on the SATA side, things don't look so bad. With the current S12 mainstream SATA controller and the full-range dynamic SLC caching strategy, even 1TB of QLC is still sufficient to hit the highest top-line performance numbers possible behind a 6Gbps bottleneck. Phison is listing those same peak performance numbers for capacities from 1TB all the way up to 16TB, including the non-power of two intermediate capacity of 12TB. In the past, those higher capacities have been supported only for the sake of enterprise SSDs, but Phison says they have at least one partner planning to bring out a 16TB drive for the consumer/prosumer retail market.
    Phison's latest DRAMless SATA controller (S13T) will still be using fixed-size SLC caches when paired with QLC NAND, and low-cost drives that have to offer lower capacities will be stuck with subpar performance—again with random reads suffering the most.
    Phison did not provide QLC-based performance projections for their current E13T DRAMless NVMe controller or its upcoming replacement E19T that brings PCIe 4.0 support and other performance increases. They also didn't provide QLC performance for the E18 (their 12nm second-generation high-end PCIe 4.0 controller), but that controller is due much later in the year and it should still be used mostly with TLC for enthusiast-class drives, unless the NAND price situation gets really bad.
    As usual for performance projections from SSD controller vendors, the numbers are subject to change between now and retail availability of drives. The choice of which particular QLC NAND is used in a given product will affect performance, and there's still time for further firmware optimizations.
    Gallery: Phison At CES 2020


    At CES 2020, Phison demonstrated various combinations of QLC NAND with their controllers in a range of capacities. Most of the SSDs shown were using Micron 96L QLC, but a few were also using Toshiba/Kioxia BiCS4 (96L) QLC. The QLC preparations also carried over to Phison's portable storage products, where they showed a 1TB MicroSD card, an 8TB Thunderbolt 3 SSD, and several USB attached solutions. All of these reference designs are likely to come to market this year, and in the portable storage market the QLC transition will probably be more thorough and unavoidable.



    More...

  8. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10258

    Anandtech: Dynabook Presents ‘Hyper-Light’ 13.3-Inch Portégé X30L-G Laptop with 6-Cor

    Dynabook has introduced its redesigned ‘hyper-light’ 13.3-Inch Portégé X30L-G, which has been updated to be lighter and more powerful than its predecessor. The new systems pack Intel’s 10th Generation Core processors with up to six cores (Comet Lake-U) as well as all the traditional and latest connectivity technologies, all while weighing less than a kilogram.
    To make the 2020 Portégé X30L-G lighter than its predecessors, Dynabook had to redesign it both inside and outside (for the first time in years). The laptop still comes in a familiar Onyx Blue magnesium alloy chassis and features a 13.3-inch display (up to Full-HD, IGZO, 470 nits brightness), albeit with slightly thinner bezels as well as a different hinge. The new internal and external architecture enabled Dynabook to reduce the weight of the Portégé X30L-G to 870 grams (~1.90 pounds), which is very low for a 13.3-inch machine. Despite the light weight of the laptop, its chassis is ‘engineered to meet’ MIL-STD-810G testing methodology standards for drop, temperature, humidity and dustproof, which should ensure that the notebook is rugged enough.
    The new Dynabook Portégé X30L-G is based on Intel’s 10th Generation "Comet Lake" Core processors with built-in UHD Graphics, which enabled the company to optimize its internal structure and ultimately make the system lighter. The system supports up to 24 GB of DDR4 memory using onboard DRAM and an SO-DIMM slot, while storage is provided via an M.2 SSD, with Dynabook using both PCIe and SATA drives depending on the SKU.
    As far as connectivity is concerned, the new notebook is equipped with a Wi-Fi 6 + Bluetooth 5 wireless module, a GbE port (which returns to a Portégé X30 after a couple of generations without it), one USB 3.0 Type-C connector, two USB 3.0 Type-A ports, a microSD card reader, an HDMI output, and a 3.5-mm combo audio jack. While the return of a GbE port is a rather remarkable event, the laptop no longer has a 4G/LTE option, or at least it is not mentioned in the press release and on the product page.
    Other features of the Portégé X30L-G notebook include a backlit keyboard (but it is unclear whether it is also spill resistant), a microphone array, and DTS-badged speakers. Meanwhile, the laptop no longer has a trackpoint, which will be missed by loyal Toshiba Portégé customers that have been accustomed to it.
    Business are corporate customers are the target audience of Dynabook’s Portégé series notebooks, so the new X30L-G features SecurePad with Synaptics Natural ID fingerprint sensor, a webcam with IR sensors (for Windows Hello facial recognition), Intel Authenticate technology as well as a discrete Trusted Platform Module (TPM 2.0).
    Dynabook's Portege X30L-G
    General Specifications
    Display Diagonal 13.3-inch
    Resolution, Brightness, Features 1366×768, 220 nits, anti-glare
    1920×1080, 470 nits, IGZO, anti-glare
    1920×1080, 300 nits, 10-point multi-touch
    CPU Core i3-10110U - 2C/4T, 2.1 - 4.1 GHz, 4 MB
    Core i5-10210U- 4C/8T, 1.6 - 4.2 GHz, 6 MB
    Core i7-10510U - 4C/8T, 1.8 - 4.9 GHz, 8 MB
    Core i7-10710U - 6C/12T, 1.1 - 4.7 GHz, 12 MB
    Graphics UHD Graphics
    RAM up to 8 GB DDR4 onboard
    up to 24 GB DDR4 w/ SODIMM slot
    Storage M.2 SATA SSD up to 512 GB
    M.2 PCIe NVMe SSD up to 512 GB
    M.2 PCIe NVMe SSD up to 1 TB with Intel Optane Technology
    Wi-Fi Intel AX200 Wi-Fi 6 controller
    Bluetooth Bluetooth 5
    GbE Intel i219-series GbE controller
    USB 3.2 Gen 1 2
    × Type-A
    1
    × Type-C
    TB3 -
    Card Reader MicroSD
    Fingerprint Sensor Yes
    Other I/O Webcam with RGB + IR sensors, microphone, HDMI, stereo speakers, audio jack
    Security Trusted Platform Module (dTPM) 2.0
    Intel Authenticate
    Battery 42 Wh
    Dimensions Width 308.8 mm | 12.16 inches
    Depth 211.6 mm | 8.33 inches
    Thickness 17.9 mm | 0.7 inches
    Weight Starting at 870 grams (1.9 lbs)
    Price $1,599.99
    Dynabook will start sales of its Portégé X30L-G already next month both directly and through its retail partners like Amazon. Select models will come with a three-year limited warranty, whereas Featured and Built-to-Order machines will get a four-year limited warranty. Entry level configuration of the Portégé X30L-G will be priced at $1,599.99.
    Related Reading:


    Source: Dynabook


    More...

  9. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10259

    Anandtech: CES 2020: ZOTAC’s Inspire Studio SFF PCs for Creators w/ 8-Core CPU & GeFo

    Being one of the leading suppliers of small form-factor gaming PCs, ZOTAC recently started to offer SFF workstations powered by Intel’s Xeon CPUs as well as NVIDIA’s Quadro graphics cards. Meanwhile, there are a lot of creators that do not necessarily need professional-grade hardware for their work (or just cannot afford it), so for that market ZOTAC is putting together its Inspire Studio desktops.
    ZOTAC’s Inspire Studio machines are based on Intel’s Core i7-9700 CPU with eight cores (and a 65 W TDP) and a full-fledged GeForce RTX 2060 Super graphics card. The system can be equipped with 32 GB of DDR4 memory, a 512 GB NVMe/PCIe SSD (or an Intel Optane Memory caching SSD), and a 2 TB hard drive. As for connectivity, the Inspire Studio has a Wi-Fi 6 + Bluetooth 5 adapter (the Killer AX1650), two GbE ports (controlled by a Killer controller), USB Type-A and Type-C connectors, four display outputs (three DisplayPort 1.4, one HDMI 2.0b), an SD card reader, and a 3.5-mm audio jack for headsets.
    All Inspire Studio SFF desktop PCs come with Windows 10 Pro and NVIDIA Studio drivers pre-installed, so the machines are ready for a variety of professional applications right out of the box.
    Since the ZOTAC Inspire Studio is aimed at creators who naturally value style, it comes in a rather unique white chassis. The latter also differentiates the PCs from ZOTAC's Q-series workstations that come in black.
    ZOTAC’s Inspire Studio SFF desktops will be available later this year from the company’s partners as well as directly. The manufacturer yet has to announce pricing of the new system.
    Related Reading:


    Source: ZOTAC



    More...

  10. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10260

    Anandtech: CES 2020: ADATA Preparing Three PCIe 4.0 Consumer SSDs

    Among a mountain of new product announcements at CES, ADATA previewed three upcoming high-end consumer SSDs supporting PCIe 4.0. These will launch under their XPG gaming-oriented brand, and will serve to complement or replace the existing XPG Gammix S50, which ADATA's current PCIe 4.0 SSD based on the Phison E16 controller. The E16 launched last summer and is so far the only consumer PCIe 4.0 SSD controller shipping in retail SSDs. Phison's own E18 follow-up controller is expected to arrive around Q3, but before then we'll see other competitors start to deliver their own PCIe 4.0 SSD controllers.
    ADATA tries out SSD controllers from almost everyone in the market, but their most popular SSDs in recent years rely on Silicon Motion controllers. The SM2262 and SM2262EN controllers are used in ADATA's best-performing PCIe 3.0 SSDs. For PCIe 4.0, Silicon Motion will replace those with the high-end SM2264 8-channel controller and the more mainstream SM2267 4-channel controller. Thanks to supporting much faster NAND interface speeds, the SM2267 should be able to offer performance comparable to current-generation 8-channel controllers, which means most of the extra bandwidth provided by the upgrade to PCIe 4.0 will go unused by the SM2267. Still, at 4GB/s for sequential reads, 3GB/s for sequential writes, and 400k IOPS for random IO, this is not by any measure a low-end solution. ADATA will be using the SM2267 in the XPG Pearl.
    ADATA's drive using the Silicon Motion SM2264 will be the XPG Indigo. This should hit 7GB/s for sequential reads, more or less saturating the PCIe 4.0 x4 interface. Sequential writes will be a bit slower at around 6GB/s, and random IO speeds will get up to 700k IOPS.
    Lastly and perhaps most interesting is the XPG Sage, one of a handful of upcoming products using the Rainier controller from newcomer Innogrit. This is currently promising the highest performance: 7GB/s reads, 6.1GB/s writes, and 1M IOPS for random IO. Those minor increases in top-line performance numbers relative to the XPG Indigo won't matter to real-world consumer usage, and whether the Sage or Indigo is the better overall performer may come down to which controller architecture offers better performance at low queue depths.
    The release dates for ADATA's upcoming PCIe 4.0 SSDs have not been set, and it's not yet clear whether the Innogrit or Silicon Motion solutions will be ready to ship first. Of the three new drives, only the Innogrit-based Sage was featured in live demos at ADATA's CES 2020 exhibit. It appears unlikely that any of them will ship until around Computex (June) at the earliest. All three drives are currently planned to be offered in capacities up to 4TB using 96L TLC NAND—but for at least the Sage, ADATA hasn't decided whether to use Toshiba or Micron TLC.


    More...

Thread Information

Users Browsing this Thread

There are currently 20 users browsing this thread. (0 members and 20 guests)

Tags for this Thread

Bookmarks

Posting Permissions

  • You may not post new threads
  • You may not post replies
  • You may not post attachments
  • You may not edit your posts
  •  
Title