Thread: Anandtech News

  1. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10881

    Anandtech: Intel’s New eASIC N5X Series: Hardened Security for 5G and AI Through Stru

    The programmability of a processor is a scale is all about flexibility and performance – something highly programmable and customizable is adaptable to all sort of situations, but often isn’t as fast. However, something that has a very specified compute pathway can go very fast, but can’t do much beyond that pathway. On the flexible side, we have FPGAs, that can be configured to do almost anything. On the fixed side, we have ASICs, such as fixed function hardware for AI. Somewhere in the middle is what’s called a ‘Structured ASIC’, which tries to combine as many benefits from the two.


    More...

  2. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10882

    Anandtech: Sponsored Post: HP OMEN Desktops with AMD Hardware Hit the Sweet Spot for

    1080p and 1440p gaming will be the sweet spot for most gamers moving forward, and HP OMEN desktops outfitted with AMD’s hardware deliver excellent performance in that context for a great price. Here’s a look at why.


    More...

  3. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10883

    Anandtech: New Enterprise SSD Controllers From Silicon Motion, Phison, FADU

    In the past month, three SSD controller designers have announced new enterprise NVMe SSD controllers, bringing a wave of new competition to the high-end enterprise/datacenter SSD market. FADU is a relative newcomer to the market, having only released one previous SSD controller. Silicon Motion and Phison are both very familiar competitors, but they have been most successful with their client/consumer SSD controllers; they have been stepping up their enterprise controller efforts in recent years, but gaining ground in that market has been a slow process.
    All three companies are now touting a new generation of enterprise NVMe SSD controllers that are more modern and mature. The three companies are poised to compete not just against each other, but against companies like Microchip/Microsemi and Marvell, who have a much longer track record of success in the enterprise SSD controller market.
    Most of the major NAND flash memory manufacturers now use in-house controller designs for their enterprise and datacenter SSDs. Independent SSD controller designers sell to second-tier SSD brands, and also commonly sell directly to hyperscale cloud providers that are cutting out the middle-man. The business models in this market are quite flexible: customers may take just the controller ASIC and develop their own firmware, use turnkey or custom firmware developed by the controller vendor, or start from a reference design for the entire SSD and customize as needed. For the quickest time to market, some controller vendors will even arrange contract manufacturing of SSDs on behalf of their customers.

    Silicon Motion SM8266 Controller

    Silicon Motion's new enterprise NVMe controller is the SM8266, a 16-channel design with a PCIe 4 x4 host interface.
    Silicon Motion's first enterprise NVMe controller was the SM2270. That had a bit of an odd design: it essentially combined two 8-channel controllers onto one chip behind a shared PCIe front-end supporting PCIe 3 x8. This allowed Silicon Motion to re-use a lot of the design of their very successful 8-channel client/consumer NVMe controllers while easily scaling up to a 16-channel solution. Silicon Motion hasn't shared a block diagram for the new SM8266, but we suspect they're still using a similar split design since the SM8266 spec sheet mentions dual 32-bit DRAM interfaces.
    The SM8266 uses the same 21mmx21mm package as the SM2270 but drops support for an x8 host interface—PCIe 4 x4 is plenty fast. The NAND interface now supports speeds up to 1200MT/s, the same as their recently-released SM2267 mainstream consumer NVMe controller, but a step behind the 1600MT/s supported by their upcoming SM2264 high-end consumer NVMe controller (both 8-channel designs). The DRAM interface on the SM8266 supports DDR4 and LPDDR3 at faster speeds than the SM2270, but again is lagging behind their latest consumer NVMe controllers that support LPDDR4(X).
    The SM8266 supports up to 128 IO queues and optional NVMe features like Controller Memory Buffer (CMB) and up to 32 namespaces. Additionally, Silicon Motion can provide firmware implementing an Open Channel SSD interface or Key-Value SSD interface. Open Channel support was a major highlight of the SM2270, which was developed primarily for Alibaba's use. However, most industry interest in Open Channel SSDs has moved on to the newer NVMe Zoned Namespaces standard.
    The Silicon Motion SM8266 is available as a turnkey solution of the controller and firmware with SSD hardware reference designs. Silicon Motion's subsidiary Shannon Systems is using the SM8266 in drives for hyperscale customers, expected to go into production in 2021.
    Silicon Motion Enterprise/Datacenter NVMe SSD Controllers
    SM8266 SM2270
    DRAM Support 2x 32bit DDR4-2400, LPDDR3-2133 2x 32bit DDR4-2133, LPDDR3-1600
    Host Interface PCIe 4 x4 PCIe 3 x8
    NAND Channels, Interface Speed 16ch,
    1200 MT/s
    16ch
    CEs per Channel 8 8
    Sequential Read 6550 MB/s 3200 MB/s
    Sequential Write 3100 MB/s 2800 MB/s
    4KB Random Read IOPS 950k 800k
    4KB Random Write IOPS 220k 200k

    Phison FX Series SSDs

    The new Phison FX is a bit different from their previous enterprise/datacenter solutions, which have been -DC variants of their client/consumer SSD controllers. The Phison FX Series is a family of SSD reference designs, using a new controller that has not been separately named. The FX Series SSDs are U.2 15mm drives using 96L 3D TLC, with firmware that can be customized to suit the features required by each customer. The FX's controller is a 12-channel design—the widest Phison has ever put out—but the host interface is still just PCIe 3 x4. Despite the older PCIe gen3 host interface, the Phison FX uses their fourth-generation LDPC engine, the same as used in their E16 PCIe gen4 consumer SSD controller. Overall, it's a clear step up from their 8-channel E12DC controller solution, but this is definitely the most conservative of the three new controller designs. However, that also means it is quick to market: Phison has already started shipping these drives.
    Phison Enterprise/Datacenter NVMe SSD Solutions
    FX Series E12DC
    Host Interface PCIe 3 x4 PCIe 3 x4
    NAND Channels 12 8
    Sequential Read 3400 MB/s 3200 MB/s
    Sequential Write 2500 MB/s 1000 MB/s
    4KB Random Read IOPS 560k 460k
    4KB Random Write IOPS 90k 70k
    Performance based on 3.84TB 1 DWPD models


    FADU Delta SSDs with FC4121 Controller

    FADU is a fairly new SSD controller design firm, having been founded in 2015 and launched their first controller ASIC (FC3081) in 2018. This year, they are launching their second controller, the FC4121. The new controller moves to PCIe 4.0, widens the flash interface from 8 to 12 channels and increases the supported speeds from 800MT/s to 1200MT/s—which means the FC4121 can use almost all the extra bandwidth offered by the faster PCIe host interface.
    FADU Enterprise/Datacenter NVMe SSD Controllers
    FC4121 FC3081
    Annapurna
    DRAM Support 32bit DDR4, LPDDR4(X) 32bit DDR4, LPDDR4
    Host Interface PCIe 4 x4 PCIe 3 x4
    NAND Channels, Interface Speed 12ch,
    1200MT/s
    8ch,
    800MT/s
    CEs per Channel 16 8
    Sequential Read 7.1 GB/s 3.5 GB/s
    Sequential Write 5.1 GB/s 2.65 GB/s
    4KB Random Read IOPS 1675k 820k
    4KB Random Write IOPS 450k 230k
    Performance based on 28% OP 3 DWPD using SK hynix 128L TLC
    FADU's SSD controller architecture was the first to use RISC-V processor cores, with their previous FC3081 "Annapurna" controller featuring SiFive's S51 cores. The controller architecture also features a variety of special-purpose hardware offloads to improve power efficiency and QoS. FADU's firmware can support a wide range of the more advanced optional NVMe features, including Controller Memory Buffer (CMB), Persistent Memory Region (PMR), SR-IOV virtualization, IO Determinism and Zoned Namespaces.
    FADU provides their DELTA reference SSD designs based around the FC4121 controller, with both U.2 and EDSFF E1.S designs and firmware customization available. These designs are available either for customers to manufacture themselves, or customers can provide the NAND and FADU will arrange contract manufacturing of the private label SSDs.


    More...

  4. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10884

    Anandtech: ASRock Brings Zen 2 NUC : 4X4 BOX-4800U Renoir Mini-PC Reviewed

    AMD-based ultra-compact form-factor (UCFF) systems are slowly gaining market acceptance, with the Zen architecture slowly catching up with Intel on both the performance as well as power consumption front. AMD's latest and greatest has been reserved for the high-end desktop market, with the parts meant for low-power / compact systems appearing a few quarters later. Zen 3-based desktop CPUs were introduced recently. However, it is only now that Zen 2-based parts with 12-25W TDP (Renoir APUs) have started to appear in compact desktop systems. ASRock Industrial launched the Ryzen 4000U-based 4X4 BOX-4000 series in September. Read on for a review of their flagship model - the 4X4 BOX-4800U.

    More...

  5. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10885

    Anandtech: EDSFF Form Factor Updates In 2020: New Versions, Growing Adoption

    The Enterprise and Datacenter SSD Form Factor family of standards is now about three years old, and continues to evolve. The EDSFF form factor options are gaining traction in several markets, and lessons learned by early adopters are still driving tweaks to the specifications. The myriad variations under the EDSFF umbrella have not been simplified much, but at least the brief format war between the EDSFF E1.S form factor and Samsung's NF1 has concluded, with Samsung now supporting and contributing to the E1.S standard. As EDSFF adoption increases, we'll probably see several variants fall into irrelevance, as happened to some of the less popular M.2 card sizes. But even once it's clear which members of the EDSFF family are succeeding in the market, the less popular options will probably remain part of the standards documents.
    The EDSFF flavors all share a common connector standard, which originated as the Gen-Z Scalable Connector Standard and has been adopted by several other standards. The Gen-Z connector provides much higher density than traditional PCIe slots, supplies the 12V power that M.2 lacks, and is relatively future-proof by offering adequate signal integrity for future versions of PCIe. The EDSFF standards define three sizes of the connector and pinouts for PCIe x4, x8 and x16 links.
    The EDSFF form factors come in two heights: sized for 1U servers are E1.S and E1.L, the short and long derivatives of the Intel Ruler concept. For 2U servers, the E3 sizes are similar to the existing 2.5" drive form factor.

    Reboot Of E3

    The most significant changes in the past year were to the E3 form factors. Earlier this month version 2.0 of the E3 form factor spec was published, breaking backwards compatibility with version 1.0 from 2018. The motivation for the incompatible changes came from the Open Compute Project (OCP) NIC 3.0 specification, which has similar dimensions but uses a new variant of the Gen-Z connector: OCP added a fourth tab with 28 extra contacts to carry various sideband signals not included in the 4c (x16) version of the connector. The E3.S form factor was stretched a bit to match the dimensions of the OCP NIC 3.0 standard, and the connector location was moved to be compatible with the OCP NIC 3.0. With the new version of the EDSFF E3 spec, it's now possible for servers to provide slots that can fulfill either role.
    The E3 form factors are the most capable and flexible in the EDSFF. They support up to PCIe x16 connections, though most SSDs will continue to stick with just x4. The E3.L 2T offers similar physical volume and TDP to a half-height half-length PCIe add-in card. The E3.S in two thicknesses is slightly larger than the two common thicknesses for U.2/U.3 SSDs, but E3.S offers better cooling and the possibility of more PCIe lanes. The newly stretched E3.S is also now large enough that an E1.S PCB can be mounted inside an E3.S case, providing a quick and easy path for compatibility between the two heights.
    E3 version 2.0 Form Factor variants
    SFF-TA-1008
    Form Factor Approximate
    Dimensions (mm)
    Front Drive
    Bays in 2U
    Typical SSD
    Power Limit
    Max PCIe
    Lanes
    E3.S 76 113 7.5 48 25 W x16
    E3.S 2T 76 113 16.8 24 40 W x16
    E3.L 76 142 7.5 48 40 W x16
    E3.L 2T 76 142 16.8 24 70 W x16
    PCIe HHHL 68 168 19 40-75 W x16
    2.5" U.2 7mm 70 100 7 48 12 W x4
    15mm 70 100 15 24 25 W x4
    3.5" U.2 (theoretical) 102 147 26 12 x4
    M.2 22110 22 110 5 8.25 W x4
    When the EDSFF family launched, most of the early attention was focused on the E1.S and E1.L form factors because they addressed the most pressing needs of hyperscale datacenters. The E3 form factors are more attractive for traditional enterprise servers, which take longer to adopt changes like this. Dell and HPE are the leading proponents of this part of the EDSFF family. Since the E3 standard was just rebooted with an incompatible change, we're now looking at E3 version 2.0 products hitting the market around the first half of 2022.
    Servers using E3 form factors are likely to use more than one version, such as providing a dozen or more thin slots for SSDs, plus several of the thicker 2T slots for NICs, accelerators, and higher-power SSDs (eg. based on 3D XPoint or some other storage class memory). While they are primarily intended for 2U systems, the E3 form factors can be used in 1U servers, but have some cooling and density disadvantages relative to E1.S for 1U systems.


    E.1S In Production Use

    The E1.S family has now grown to include five different thickness options. However, the standards for a bare PCB or one with just a heatspreader are not getting much attention. The three (formerly two) versions with a full enclosure seem to be the most popular. These can slide directly into a hot-swap bay without needing to be screwed into a tray or caddy. At the front of the drive is a flange with two screw holes which are used to attach an appropriate latching mechanism for whatever server chassis the drives will be installed into. Samsung has recently proposed modifying this with a few extra cutouts to enable a screw-less version of their latching mechanism, which will be quicker to install.
    This year's addition to the E1.S standard was a new intermediate thickness heatsink option proposed by Microsoft and intended for their Azure datacenters. Microsoft found that the 9.5mm symmetric enclosure didn't offer quite enough cooling for the kind of drive TDPs they're expecting for PCIe Gen4 SSDs (at least, within the bounds of reasonable fan speeds), but the 25mm asymmetric enclosure/heatsink sacrifices a bit too much density and has excess thermal headroom that Microsoft doesn't need for their primary storage drives. As a result, there's now also a 15mm version standardized and already being deployed at scale.
    E1.S Form Factor variants
    SFF-TA-1006
    Form Factor Approximate
    Dimensions (mm)
    Front Drive
    Bays in 1U
    Typical SSD
    Power Limit
    Max PCIe
    Lanes
    E1.S Bare PCB 32 112 5.9 12 W x8
    Heatspreader 32 112 8 16 W x8
    Symmetric
    Enclosure
    34 119 9.5 36 20 W x8
    Asymmetric
    Enclosure
    34 119 15 24 20 W x8
    34 119 25 16 25 W x8
    M.2 22110 22 110 5 8.25 W x4
    2.5" U.2 7mm 70 100 7 20 12 W x4
    15mm 70 100 15 10 25 W x4
    PCIe HHHL 68 168 19 40-75 W x16
    The 9.5mm-thick enclosure option was already sufficient to kill off server M.2 drives except for boot drive usage: it offers the hot-swap capability and 12V power that M.2 lacks, and can be deployed with similar density. The newer 15mm thick heatsink version of E1.S may end up being more popular, but it's a bit too new to judge how much traction it will get beyond the original proponents. Compared to 2.5"/15mm U.2 SSDs, the E1.S 15mm form factor is still a significant improvement: four E1.S 15mm drives can fit in the space of two 15mm U.2 drives, and the E1.S drives are still easier to cool. Storage-focused systems can achieve higher density with the 9.5mm option, but the E1.L form factors offer even higher storage density.
    The 25mm heatsink E1.S option now seems likely to be the least popular of the three enclosure choices. It still has a niche for squeezing high-power accelerators into 1U systems, but PCB width can be a limiting factor and the E3 form factors can also accommodate big, high-power chips. Any E1.S slot providing 8 instead of just 4 PCIe lanes is likely to use at least the 15mm heatsink option, because an accelerator capable of using that much bandwidth will need the extra cooling.
    All of the E1.S variants use the same PCB and vary only in the metal enclosure and height of the heatsink (if any), so there's not much burden on SSD vendors to support all three of the 9.5mm, 15mm and 25mm. Server vendors face the tougher choice of deciding how wide to make their slots; narrower drives can be mounted in wider slots, but this may lead to unbalanced airflow—though not as bad as leaving a slot empty without a placeholder inserted. The most common configuration for general-purpose 1U servers might end up being a bank of E1.S slots for storage plus one or two E3.S/OCP NIC slots—this seems especially likely if the OCP approach of putting all IO at the front of the server catches on outside of hyperscale datacenters.

    E1.L Unchanged

    There have been no recent changes to the E1.L form factor. This one remains the closest to Intel's original Ruler concept. It is much longer than any other drive form factor, so the entire server layout must be designed around E1.L. This form factor will be used almost exclusively in systems designed for the sole purpose of containing a lot of flash memory, and will not see any significant adoption for general-purpose or compute-oriented servers. E1.L is suitable for drives with capacities of tens of TB each.
    E1.L Form Factor variants
    SFF-TA-1007
    Form Factor Approximate
    Dimensions (mm)
    Front Drive
    Bays in 1U
    Typical SSD
    Power Limit
    Max PCIe
    Lanes
    E1.L Thin 38 319 9.5 36 25 W x8
    Thick 38 319 18 18 40 W x8
    2.5" U.2 15mm 70 100 15 10 25 W x4
    PCIe HHHL 68 168 19 40-75 W x16
    While not formally part of the EDSFF specifications, the Open Compute Project's storage working group has developed the OCP NVMe Cloud SSD specification. This is a unification of most of Microsoft and Facebook's requirements for their SSDs, covering areas like the optional NVMe features, telemetry capabilities, endurance and performance that those companies want. The Cloud SSD specification applies to M.2 22110, E1.S and E1.L form factors. Several SSD vendors are already targeting this specification, which will help cut down on the amount of firmware customization required by different customers.

    Related Reading




    More...

  6. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10886

    Anandtech: AMD EPYC Rome in (Deep) Mini-ITX? ASRock Rack's New ROMED4ID-2T

    ASRock Rack has unveiled its latest small form factor motherboard designed for AMD's EYPC processors, the ROMED4ID-2T. It is based on a new proprietary form factor similar to Mini-ITX, affectionally colloquialized as 'Deep' Mini-ITX, which is slightly larger than standard mini-ITX. The board supports for EPYC Rome 7002 processors up to 64 cores.
    Being able to amalgamate up to 64 cores with a mini-ITX motherboard isn't a small feat; the ROMED4ID-2T does it for users looking to build a server with a small overall footprint. This model opts for a new wider proprietary design, the 'deep mini-ITX' form factor with dimensions of 6.7 x 8.2 inches, rather than 6.7-inch square for regular mini-ITX.
    The main obvious limitation with such a small motherboard size and a large socket is that not all of the features of the processor is made available - there are some sacrifices to put it all in. That starts with the memory support, with this model only having four memory slots, and therefore four memory channels, rather than the standard eight channels that EPYC Rome can provide. The other is PCIe 4.0 support - there is one PCIe 4.0 x16 full length slot, and six Slimline PCIe 4.0 x8 ports, but that is still far fewer than the 128 lanes this CPU can provide.
    For storage devices, other than the single M.2 2280 PCIe 4.0 x4 slot, there is plenty to accommodate multiple drives, despite the size limitations, with up to sixteen SATA ports support from two of the Slimline ports, which ASRock states can be configured within the BIOS. This also includes support for bulk U.2 storage, with two Slimline ports operating at PCIe 4.0 x8 or eight SATA ports, and the other four Slimline simply listed as being PCIe 4.0 x8 only.
    Being primarily marketed as a server-focused model, it includes many of the usual suspects regarding internal headers, including one for IPMB, PMBus, and a 13-pin LPC TPM header. There are also three 4-pin fan headers, each with its own fan fail LED.
    Regarding connectivity, the ASRock Rack ROMED4ID-2T includes an Intel X550-AT2 10 Gb controller, which adds two 10 GbE ports on the rear panel, as well as a dedicated Realtek RTL8211E Ethernet port for the board's IPMI. A single DB15 D-Sub video output is present for users looking to access the system psychically over the IPMI, with a UID LED button and a pair of USB 3.2 G1 Type-A ports.
    At present, ASRock hasn't given any information regarding pricing or availability, but we expect to hear something shortly.
    Gallery: ASRock Rack Goes DEEP (Mini-ITX) With Its ROMED4ID-2T For EPYC


    Related Reading




    More...

  7. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10887

    Anandtech: The iPhone 12 & 12 Pro Review: New Design and Diminishing Returns

    The new iPhone 12’s have been out for a while now, and while we’ve had our hands on them for a few weeks, Apple’s news bombardment of the new Apple Silicon announcement and release of new Apple M1 Mac devices has meant the iPhones have had to be put on the back burner for a little while.
    Having already covered Apple’s new A14 architecture in-depth in our coverage of the M1, it’s time to fill in the missing pieces for the actual new generation of iPhones.
    The new iPhone 12 generation of devices mark a new design restart for Apple, moving away from the design that had been started with the iPhone X in late 2017. Re-gaining the flat side-frame look that was originally found in past iPhone generations of the 4, 4S, 5 & 5S series, Apple is making the old new again.
    The new iPhone 12 series is also Apple’s widest range release ever, with a total of four new iPhones: the iPhone 12 mini, a new compact form-factor at the lower range, the iPhone 12, the “standard” iPhone part, and continuing to offer the Pro models in the form of the iPhone 12 Pro and 12 Pro Max. We’ll be focusing on the iPhone 12 and 12 Pro for today’s review.

    More...

  8. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10888

    Anandtech: ASRock x Razer: New Razer Taichi Edition X570 and B550 AMD Motherboards

    ASRock and Razer have today announced a product collaboration. Two of ASRock's premium models for AM4 are being updated with Razer styling and Razer Chroma connectivity: the X570 and B550 Taichi Razer Edition. Built upon the popular Taichi series, both of the new Razer Edition variants include a 16-phase power delivery, with official support and compatibility with Razer's Chroma RGB ecosystem.
    Whenever Razer does a collaboration with any company in the hardware industry, it gets people excited and talking. One of the most prominent brands globally with its green inspired peripheral range, as well as its various wacky ideas such as an RGB gaming toaster; I can't ever be as excited for a toaster as much as the Chroma Edition.

    The ASRock X570 Taichi Razer Edition Motherboard
    Back to the actual hardware, and it has teamed up with ASRock, a company seemingly fearless when trying new and off-the-wall ideas. This has realised the two new models, the B550 and X570 Taichi Razer Edition. The Taichi series is one of ASRock's most popular ranges, especially in the premium motherboard space, and the new Razer Editions will build upon this with both the AMD AM4 500 series chipsets being treated to some Chroma.
    Gallery: ASRock X570 Taichi Razer Edition Gallery


    Both the ASRock X570 and B550 Taichi Razer Edition models will feature much of the same feature set including a large 16-phase VRM, built with premium 60 A chokes and Nichicon 12K black capacitors. In regards to the actual specifications, both of these new models correspond nearly identically with its non Chroma counterparts. The X570 Taichi Razer edition includes three PCIe 4.0 x4 M.2 slots, eight SATA ports from the chipset, a 2.5 GbE port as well as a Killer AX1650 Wi-Fi 6 module. For the B550, it is slightly lower down the pecking order due to the PCIe 4.0 support coming only from the CPU. It includes one PCIe 4.0 x4 M.2 slot, with an additional PCIe 3.0 x4 M.2 slot with eight SATA ports, four from the chipset and four from an ASMedia SATA controller.
    Gallery: ASRock B550 Taichi Razer Edition Gallery


    The biggest impact and only differences between the standard X570 and B550 Taichi's compared to the Razer Edition models comes visually, with Razer's flair located around the board itself. The addition of addressable RGB headers taps into one of the biggest RGB Ecosystems around, the Razer Chroma RGB. On both models, the Razer logo can be found on the rear panel cover and the chipset heatsinks, for a bit of added flair and vibrance that RGB offers. These aren't OLED screens, just Razer branded vanity plates.
    It should be noted that Razer is famous (infamous?) for doing things like green USB ports to unify the branding. That hasn't happened here however, indicating that this is more of a collaborative branding exercise rather co-design effort.
    As it stands, the ASRock X570 and B550 Taichi Razer Editions aren't on the open market and neither company has opted to announce a release date or pricing. Given that both models include official pages (X570 and B550) on the ASRock website means that this launch for its target markets (TBA) should be imminent.
    Related Reading




    More...

  9. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10889

    Anandtech: QNAP Launches QHora-301W: An Affordable Wi-Fi 6 Router with Dual 10GBASE-T

    QNAP is a well-known manufacturer of network-attached storage (NAS) units. Recently they have been testing the waters in allied areas such as switches and Wi-Fi expansion cards. As a natural extension, they recently introduced their first standalone Wi-Fi router - the QHora-301W. The consumer market for Wi-Fi routers is a saturated one with multiple established vendors such as Netgear, TP-Link, D-Link etc., and recent entrants such as Google and Amazon (eero) jockeying for market share. QNAP's strategy in this space is to approach the market from their established consumer base - prosumers and small and medium businesses (SMBs). Helping their first-generation product stand out in the crowd is the inclusion of a headline-grabbing feature - two NBASE-T ports capable of operating at speeds up to 10Gbps. The specifications of the Wi-Fi 6 router are summarized in the table below, followed by an overview of the various hardware features of the unit.
    The QHora-301W is based on the Qualcomm IPQ8072A Wi-Fi SoC - a member of the Networking Pro family of products. A block diagram of the family flagship (IPQ8078) WiSoC is reproduced below.
    The IPQ8072A WiSoC borrows elements from across the product stack - while the quad-core Cortex-A53 cluster operates at 2.2 GHz, the Wi-Fi subsystem has only two sets of radio chains (instead of the three in the IPQ8078 above) - one 4x4 5GHz, and one 4x4 2.4 GHz. The 5GHz chain operates with 80MHz channels in a 4x4 configuration, but can also operate with 160MHz channels in a 2x2 configuration for 2.4Gbps of peak theoretical throughput. The 2.4GHz chain operates in a 4x4 configuration with 40MHz channels for 1.2Gbps throughput, placing the WiSoC in the AX3700 class. The IPQ8072A also fixes the uplink MU-MIMO feature that was missing in the IPQ8072 WiSoC. In terms of PHY-support, the IPQ8072A and IPQ8078 are equivalent. However, the QHora-301W only takes advantage of four out of the five 1GbE PHY interfaces (PSGMII) available. Unlike existing Wi-Fi 6 routers based on the IPQ8072A, the QHora-301W opts to make use of both USXGMII 10GbE PHY interfaces - They connect to the Marvell Aquantia AQR113C PHY to enable the two NBASE-T ports (supporting up to 10Gbps).
    The software-defined WAN feature (SD-WAN) is one of the key selling points of the router to QNAP's current SMB customers. With its integrated site-to-site VPN solutions, it enables easy configuration of an extension of the office networks to a remote employee's location. Creating a separate VPN profile for an employee's mobile device as well as a desktop creates IT headaches - moving that to a single VPN instance in the gateway or router at the remote site makes the job of the IT department relatively simple. The QHora-301W can even scale well enough for such purpose in a remote location with 10 to 20 employees. The dual 10GbE ports can also help with faster remote backups, with the possibility of a high-speed interface each in the upstream and downstream directions.
    The QuRouter OS / QuWAN feature supports mesh VPN for multi-site VPN deployments - this prevents overloading of the VPN at the HQ location. At the same time, it also allows for centralized management. QNAP currently advertises these features as subscription-free. The unit can also operate in dual-WAN mode, allowing for WAN failover, load balancing, and aggregation too. The WiSoC's network processor also enables deep-packet inspection (DPI), and QNAP is promising intelligent QoS as well as L7 firewalling in their firmware roadmap. On the wireless side, the router supports up to six virtual access points (VAPs). VAPs can be configured with different SSIDs, access profiles, and routing policies. This is typically used to implement guest networks in home consumer scenarios - business users can take advantage of this feature to bridge different SSIDs to different VLANs (as an example). These value-additions are complementary to standard router functions such as static routing, protocol-based firewall, NAT, port forwarding, parental controls, AP mode etc. Similar to the QNAP QTS web GUI for its NAS units, the QuRouter OS also has a web GUI that aims to make configuration simple for novice users also. For its SMB (IT administrators) customers, QNAP also has a cloud-hosted management tool (QuWAN Orchestrator) that allows management of multiple QHora-301W units deployed in geographically spread out locations.
    On the pricing front, the QNAP QHora-301W has a MSRP of $329, though the current street price is slightly south of $400 (Newegg and Amazon) from third-party sellers. Despite the high-end features, the launch pricing is surprisingly affordable at $329. 10GBASE-T ports are not available in a Wi-Fi 6 router from any other vendor - so there is no direct comparison to make. Vanilla AX4000-class routers come in at around $200, but they sacrifice on RAM (around 512MB soldered) and flash (around 128 to 512MB). They also tend to use the slower low-end products in Qualcomm's Networking Pro stack. Given its SD-WAN capabilities, QNAP has included 1GB of RAM and 4GB of flash, while equipping the unit with a suitable Qualcomm Networking Pro (IPQ8072A @ 2.2 GHz) WiSoC. This gives it extra resources to fulfil the advertised SD-WAN duties. Some sacrifices are made compared to high-end consumer Wi-Fi routers - the QHora-301W doesn't have a second 5GHz radio, which restricts it to the AX4000 class. This also prevents optimal usage in mesh networking scenarios, which can make use of a dedicated wireless band for inter-node communication. However, the BOM cost reduced by avoiding a second 5GHz radio is compensated by the addition of two 10GBASE-T (NBASE-T) ports. Interestingly, despite the increase in power consumption due to the 10GBASE-T ports, QNAP has created a fanless design for the unit and promises throughput maintenance as long as the operating temperature is between 0-40C. Many Qualcomm customers have created the typical dual 5GHz radio wireless router with a dedicated channel for mesh nodes communication, or even as a separate Wi-Fi SSID occupying a distinct radio channel. But, QNAP has played the trade-offs well to avoid creating a me-too product. The QHora-301W's specifications make it an affordable Wi-Fi 6 router with unique capabilities catering specifically to QNAP's existing consumer base.
    If one is looking for a Wi-Fi router with all the bells and whistles that established vendors already include in their units - such as geoblocking and mesh networking support, the QHora-301W is not the solution to buy. The incumbents have included such value-additions over the course of multiple generations, and QNAP will probably take at least a couple of generations to get their firmware to feature parity in the consumer space. In that context, it is relevant to note that the software-defined WAN moniker points to inclusion of features that might appeal to the SMB space - again, a few firmware updates might be needed to fulfil all the advertised features. Given that antenna placement and tuning affects wireless performance greatly in Wi-Fi routers, the all-internal antenna design of the QHora-301W is definitely an aspect worthy of further investigation.
    The SMB / prosumer market segment in QNAP's target pricing bracket is serviced by vendors such as Ubiquiti Networks (UniFi stack), Mikrotik, Engenius, and the SMB arms of players like Netgear. However, these companies tend to separate out the routing gateway / firewall from the Wi-Fi component (access point) while adding various switches to their product lines. This allows their customers to upgrade the network components separately. QNAP's strategy of introducing an all-in-one product (with hardware and I/Os similar to the ones found in mid-range and higher consumer Wi-Fi routers) makes it an interesting play for extending the SMB network into the homes of remote workers. However, it eventually needs to be followed up with separate products for each networking segment if they aim to be a serious player in this space.


    More...

  10. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #10890

    Anandtech: New Second Gen EVE V 2-in-1: Tiger Lake 3840x2400 with Thunderbolt 4

    Sometimes for a company to get its projects over the line, it requires the help of crowdsourcing ideas. One such company is Eve Tech, or EVE for short, and looking to build on the success of its first-generation EVE V 2-in-1 dubbed the "Surface Pro Killer". Today the company has officially lifted the lid on its second-generation EVE V. The new EVE V 2-in-1 includes a whole host of impressive features and specs including Intel's Tiger Lake mobile processors with Xe integrated graphics, Thunderbolt 4, Wi-Fi 6, and a 13.4" 4K (3840 x 2400) with 10-point multitouch. The design of the device has been through deep interactions with interested end-user customers to build a device with the specifications that they want.
    The EVE V 2nd generation has crammed all of its technology into a very high specification 2-in-1 with consultation of potential customers. For a convertible designed to compete with the latest and greatest, Eve Tech has lifted the lid on the EVE V 2nd Gen specifications, the technologies under the hood, as well as an impressive array of features.
    Focusing on the screen, the EVE V is using a 13.4-inch Indium Gallium Zinc Oxide (IGZO) panel with a native 3840 x 2400 pixel (4K 16:10) resolution. This has a 16:10 aspect ratio, with two different variations including the higher-spec 4K resolution, or an FHD 1920 x 1080 resolution. Some of the finer specifications of the screen include a 1500:1 contrast ratio, up to 500 nits of brightness, and is using edge-to-edge Corning Gorilla Victus glass, announced recently as one of the most scratch resistant glass surfaces.
    The Tiger Lake processor comes with four cores and eight threads, as well as Xe graphics and Thunderbolt 4 support. For a convertable, the EVE V uses a lot of that IO, with three Type-C ports, two of them Thunderbolt 4. The two Thunderbolt 4 Type-C ports also include DisplayPort 1.4 and HDMI 1.4b video support, with a power output of up to 5V or 15 watts as well as charging capabilities. Other connectivity includes a USB 3.2 G2 Type-C port, with a single 3.5 mm audio jack, a microSD reader with compatibility for SDXC up to a maximum of 2 TB. The sound capabilities are also impressive with dual 0.7-watt front-facing speakers, and a pair of 1 W front-facing low-frequency speakers that resemble subwoofer qualities. Some variations of the EVE V will come with a Nano Sim slot for 4G capabilities.
    Eve Tech EVE V (2021) Specifications
    Component EVE V Second Generation 2-in-1
    CPU Intel Core i7 1135G7 (Tiger Lake)
    4 Core / 8 Thread
    2.4 GHz Base
    4.2 GHz Turbo
    8MB Cache
    Intel Core i7 1165G7 (Tiger Lake)
    4 Core / 8 Thread
    2.8 GHz Base
    4.7 GHz Turbo
    12MB Cache
    GPU Intel Iris Xe Graphics
    80 Execution Units
    (up to 1.3 GHz)
    Intel Iris Xe Graphics
    96 Execution Units
    (up to 1.3 GHz)
    Memory 16 GB DDR4-4266 LPDDR4X (dual channel)
    32 GB
    DDR4-4266 LPDDR4X (dual channel)
    Display 13.4 Inch 16:10 3840 x 2400 (4K)
    13.4 Inch 16:10 1920 x 1080
    UGZO IPS panel
    AES 2.0 Touchscreen
    HDR10, HDR400 certified
    500 nit brightness
    339 ppi Pixel Density
    1500:1 Contrast ratio
    Edge to Edge Corning Gorilla Glass Victus
    10-point Multi-touch
    Storage 512 GB or 1 TB NVMe SSD
    Wireless 802.11ax Wi-Fi 6 (2x2 MU MIMO)
    Bluetooth 5.0
    4G LTC (up to 150 Mbps) on selected models
    GPS (Galileo/QZSS/BeiDou) on selected models
    I/O 2 x USB4 Gen3 Type-C (Thunderbolt 4)
    1 x USB 3.2 G2 Type-C
    1 x 3.5 mm audio jack (Texas Instruments Headphone Amp)
    Micro SD card reader (micro SD, SDI, HC, SDXC up to 2 TB)
    Nano Sim card slot (selected models)
    Audio 2 x 0.7 W High-Frequency Speakers
    2 x 1 W Low-Frequency Speakers
    Dual noise-canceling Microphones
    Battery Rechargeable 41.3 Wh Lithium-ion polymer
    Dimensions ???
    Pricing Starting from $1399
    Availability ???
    Included with the EVE V is its V pen, which has been upgraded over the EVE V 2016 model. It is based on Wacom's AES 2.0 technology and features 4096 pressure levels which is designed to benefit from the 10-point touchscreen. The new V keyboard supplied includes a folio cover with a soft touch feel, with a Windows Precision touchpad that includes a textured glass surface for optimal precision. It also includes an adjustable backlight for low light situations. There is a 5 Megapixel rear-facing camera, as well as a 720p front-facing camera for conference calls, as well as a fingerprint reader integrated into the power button.
    Although Eve Tech hasn't fully announced the availability of the V, it estimates that it'll start shipping by the end of Q4, and will be available globally across various retailers soon. Users can reserve the EVE V with a fully refundable down payment of $300, with the remaining expected to be paid prior to shipping.
    Gallery: EVE V Image Gallery


    The EVE V will start at $1399 for the base model with a Core i5, 16 GB of memory and a 512 GB NVMe SSD, with the top-spec model with the Core i7, 32 GB of RAM, and a 2 TB NVMe SSD for around $2000. This is inline with some of the premium Tiger Lake notebooks we have seen being launched recently with 3840x2400 screens, such as the Dell XPS13 and the Razer Book 13.
    Related Reading




    More...

Thread Information

Users Browsing this Thread

There are currently 17 users browsing this thread. (0 members and 17 guests)

Tags for this Thread

Bookmarks

Posting Permissions

  • You may not post new threads
  • You may not post replies
  • You may not post attachments
  • You may not edit your posts
  •  
Title