Thread: Anandtech News

  1. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #9981

    Anandtech: Arm Announces New Ethos-N57 and N37 NPUs, Mali-G57 Valhall GPU and Mali-D3

    Today Arm is announcing four new products in its NPU, GPU and DPU portfolio. The company is branding its in-house machine learning processor IPs the Ethos line-up detailing more the existing N77 piece and also revealing the smaller N57 and N37 siblings in the family. To top things off, the company is also making ready its first mid-range GPU IP based on the brand-new Valhall architecture, the new Mali-G57. Finally, we’re seeing the release of a new mid-range DPU in the form of the Mali-D37.
    Introducing the Ethos NPU Family

    Arm’s NPU IP offering was first announced early last year, detailing its architecture a few months later, and has been publicly been known until known just as “Project Trillium”. Arm at TechCon this year has officially branded the IP as the Ethos line-up, and the N77 has been the main product that’s been previously referred to as the Trillium codename.
    Microarchitecturally, the new branded Ethos-N77 now publicly changes its specs compared to what had been revealed last year by allowing for a configurable 1 to 4MB SRAM implementation, whilst last year it had been disclosed it would scale up to 1MB only. Arm explains that customers needed more memory bandwidth for processing these mesh networked NPUs, as DRAM bandwidth doesn’t scale up in the premium segment as fast as the core count does. The flagship IP offers up to 4TOPS processing power at 1GHz clock and has a respectable 5TOPS/W efficiency.
    Arm is able to use the same building blocks across the different IPs. The NPUs all share the same MAC computation engine (MCE) and programmable layer engines (PLE). The MCE consists out of 128 MAC units, as disclosed last year, and is paired alongside a PLE. An MCE and PLE, plus SRAM, make up a computation engine (CE), and this is the scaling block that differs between the N77, N57 and N37, coming in 16x, 8x and 4x configurations in terms of the CE count.
    The mid-range and low-end variants are being released a lot faster than other new IP technologies because Arm is seeing a lot more interest in doing ML in cost-constrained devices where every mm² of silicon is important. Particularly features like smartphone face unlocking or DTV resolution upscaling are becoming commodity features.
    The new NPUs have already been licensed and delivered to customers.
    Revealing the Mali-G57 - First Mid-range Valhall Based GPU

    Earlier this year, Arm had announced the new Valhall architecture in the new Mali-G77 that we’re expecting to see in SoCs next year. The new GPU architecture is a more major departure from the Bifrost based GPUs we’ve seen over the last three years as Arm has completely revamped its graphics ISA and computation microarchitecture.
    Today, Arm reveals that the company is adopting the new Valhall architecture in the mid-range, starting off with the new Mali-G57. We currently don’t have too many details on exactly what the finer microarchitecture configurations of the new GPU looks like, but we’re very likely looking at something that will be very similar to the G77, scaled down similar to how the G52 looked like compared to the G72.
    Improvements compared to a G52 with three execution engines per core (3EE) promise 1.3x better performance in a similar core configuration, 30% better energy efficiency, and 30% better silicon density (due to the better performance).
    Mali-D37 DPU - Bringing High-End Features To the Mid-Range

    Finally, to wrap things up, Arm is now bringing to market a new mid-range DPU in the form of the Mali-D37.
    The new IP is based on the “Komeda” architecture which was first introduced in the Mali-D71 and its follow-up, the Mali-D77 announced this year. Then new DPU targets resolutions of 2K and FHD and promises to take up only

  2. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #9982

    Anandtech: The Foldable Huawei Mate X: Coming to China on November 15th for ~$2074

    Foldable smartphones from Huawei and Samsung attracted quite a lot of public attention at Mobile World Congress early this year, but launches were delayed as companies had to polish the technology. Samsung’s Galaxy Fold has since been released into the market in select countries (Ian: my local EE store has sold a dozen or so), whereas the Mate X, its rival from Huawei, is coming later.
    As it turns out, Huawei plans to launch its Mate X in China on November 15. The foldable smartphone, which is powered by the HiSilicon Kirin 980 SoC (paired with 8 GB of RAM and 512 GB of storage), the Balong 5000 5G modem, and features an 8-inch foldable display with a 2480x2200 resolution and a 9:8 aspect ratio, will cost ¥16,999. This translates into a ~$2074 price in the US.
    It is not completely clear when Huawei’s Mate X is set to arrive to other markets (if at all) and what kind of software will it have pre-installed. Considering how expensive the smartphone is, selling it potentially without applications from Google and other US-based vendors may not be a good idea in non-Chinese markets
    In addition to revealing the launch date of the Mate X, Huawei also said today that it plans to release a refined version of the foldable smartphone, the Mate Xs, on the HiSilicon Kirin 990 5G SoC. It has a built-in 5G modem, and is set to come to China in March. It is unknown how much will the unit cost and whether it will be available outside of China.
    Related Reading


    Sources: Engadget China (via Engadget), VMall (via GSMArena)


    More...

  3. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #9983

    Anandtech: TSMC: 5nm on Track for Q2 2020 HVM, Will Ramp Faster Than 7nm

    TSMC’s 5 nm (N5) manufacturing technology is projected to provide significant benefits when it comes to performance, power, and area scaling, which is why the contract maker of semiconductors expects a tangible number of its customers to adopt this process. And, with a forecast for aggressive demand paired with some early preparation in installing new equipment, TSMC believes that its N5 technology will ramp even quicker than its 7 nm (N7) process.
    In a bid to boost its production capacities, TSMC recently increased its capital expenditures for 2019 from $10 billion - $11 billion to $14 billion - $15 billion. TSMC is particularly invested in buying equipment for its cutting-edge nodes, such as ASML’s Twinscan NXE step-and-scan systems for processes that use extreme ultraviolet lithography (EUVL) for select layers. At present, TSMC’s Fab 15 is making SoCs using N7+, whereas its Fab 18 (the first phase of equipment move-in was completed in March 2019) is on-track to produce N5 chips in high volume starting Q2 2020.
    The new tools that will be bought in the coming months are expected to be installed in 2020 and this is when the company will be able to quickly ramp production of chips using its N7, N7+, N7P, N6, N5, and N5P process technologies. The company is confident that it will have a very high market share with its 5 nm nodes.
    C.C. Wei, vice chairman and CEO of TSMC, said the following:
    First, the 5 nm ramp for next year. Certainly, as compared with six months ago, we are right now more aggressive and more optimistic about it. Hopefully, because we spend big money [...] that it will ramp up much in terms of revenue, be much faster than 7 nm. […] With that money, we spend to buy the tools to prepare everything. We do expect that our growth will go beyond 5% to 10%.
    The key difference between N5 and its predecessors that use EUVL is that it is designed to use EUVL on up to 14 layers (up from four and five in case of N7+ and N6). So the ramp of N5 will increase usage of the latest equipment, and to some degree will demonstrate whether EUV tools and ecosystem is ready for prime time or not. Right now, TSMC seems to be very optimistic about EUVL. The light sources it uses offer output power of more than 250 Watts and reach target goals for availability. The company also produces its own pellicles and takes into account characteristics of currently available photoresists.
    Mr. Wei said the following:
    “We produce our own pellicle. We have a large number of masking capacity and everything. So even photoresist, those kind of things, we have been taking into account. We are in a high-volume production [with] EUV lithography technology. For next year, you have big — even higher volume, and I can assure you that we are all prepared.”
    Related Reading:


    Source: TSMC



    More...

  4. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #9984

    Anandtech: Samsung Announces Exynos 990: 7nm EUV, M5, G77, LPDDR5 Flagship SoC alongs

    Today Samsung unexpectedly announced the brand new Exynos 990 chipset – likely to be Samsung’s flagship SoC for 2020, employing the newest IP and offering the newest features on the 7nm EUV manufacturing node. The chip closely follows the release of the Exynos 980 earlier in September, and both new silicon chips bring with them 5G connectivity alongside their new product naming scheme.
    Samsung Exynos SoCs Specifications
    SoC Exynos 990
    Exynos 9820 / 9825
    CPU 2x Exynos M5 @ ? GHz
    (+20% perf)

    2x Cortex A76 @ ? GHz

    4x Cortex A55 @ ? GHz
    2x Exynos M4 @ 2.73 GHz
    2x 512KB pL2
    3 MB L3

    2x Cortex A75 @ 2.31 / 2.4GHz
    2x 256KB pL2

    4x Cortex A55 @ 1.95 GHz
    No pL2's

    1MB L3
    GPU Mali G77MP11 @ ? MHz Mali G76MP12 @ 702 MHz
    Memory
    Controller
    LPDDR5 @ 2750MHz 4x 16-bit CH
    LPDDR4X @ 2093MHz

    2MB System Cache
    ISP Single: 108MP
    Dual: 24.8MP+24.8MP
    Rear: 22MP
    Front: 22MP
    Dual: 16MP+16MP
    NPU Dual NPU + DSP
    >10 TOPs
    Dual NPU
    1.86 TOPs
    Media 8K30 & 4K120 encode & decode
    H.265/HEVC, H.264, VP9
    8K30 & 4K150 encode & decode
    H.265/HEVC, H.264, VP9
    Modem Exynos Modem External

    (LTE Category 24/22)
    DL = 3000 Mbps
    8x20MHz CA, 1024-QAM
    UL = 422 Mbps
    2x20MHz CA, 256-QAM

    (5G NR Sub-6)
    DL = 5100 Mbps


    (5G NR mmWave)
    DL = 7350 Mbps
    Exynos Modem Integrated

    (LTE Category 20/20)
    DL = 2000 Mbps
    8x20MHz CA, 256-QAM
    UL = 316 Mbps
    3x20MHz CA, 256-QAM
    Mfc. Process Samsung
    7nm LPP (EUV)
    Samsung
    8nm LPP / 7nm LPP (EUV)
    Starting off with the new CPU complex, Samsung seemingly is continuing with their custom CPU designs for this generation, and we’re seeing the deployment of the new Exynos M5 microarchitecture. Samsung quotes that the new design is 20% faster than the Exynos M4 in the 9820 – a bit of a conservative figure given the larger process node improvement. The new CPU will have to compete against the next generation Snapdragon flagship which most likely will come with Cortex-A77 derived cores which promises 23-30% IPC increases – unless that chip comes with clock degradations, the new M5 will have a hard time competing.
    Samsung has upgraded the middle core from the Cortex A75 in the previous generation a newer Cortex A76 design, which should provide a more significant performance uplift in every-day workloads. Finally, we continue to see Cortex A55 cores as the little efficiency cores. Samsung doesn’t disclose the clock frequencies of any of the CPU clusters, but advertises that the overall improvement of the new tri-cluster is 13%.
    The Exynos 990 sees a big GPU upgrade in the form of the new Mali-G77 in an MP11 configuration. The new Valhall architecture promises to be a very large performance jump for Arm’s GPU IP, and it looks like the new Exynos will be amongst the first chipsets to employ the new GPU family. Samsung press release claims we’ll see performance uplifts of up to 20%, or power efficiency gains of up to 20%. The increase seems quite meagre given the process improvement as well as the new GPU architecture, it seems very unlikely Samsung will be unable to catch up to Apple’s newest chipsets – and Qualcomm is expected to have a big generational jump as well.
    One fundamental change that the Exynos 990 brings with itself is the transition from LPDDR4X memory to LPDDR5 memory. This allows the new chipset to increase the memory controller frequency from 2093 MHz to 2750MHz and we’re also expecting the new DRAM technology to bring power efficiency gains to the table.
    Samsung discloses that the chip comes with a dual-core NPU and a new DSP which can perform over 10 TOPs in computational throughput – it’s not exactly clear here if Samsung is referring to the DSP’s capability or the combined capabilities of the NPU and DSP. The Exynos 9820’s NPU had a throughput of 1.86TOPs.
    Finally, what’s odd here is the chip’s connectivity capabilities: Samsung doesn’t list the Exynos 990 as having modem capabilities which might point out that we’re maybe looking at a pure application processor this generation. Instead of an integrated modem, Samsung is advertising the new Exynos Modem 5123 as a new discrete chipset:
    The new modem iterates on the Exynos Modem 5100 used this year: It’s still a complete multi-band solution supporting everything from 2G to 5G sub-6 as well as mmWave, however Samsung has massively upgraded the new chip’s maximum throughput. In regular 4G LTE, the new chip now supports downlink speeds of up to 3Gbps while offering 422Mbps upload. In 5G sub-6, we’re seeing 5.1Gbps downloads, and 7.35Gbps in mmWave networks. The chip’s speeds are enabled by up to 8x carrier aggregation and a new higher-order 1024 QAM, which is as far as we know, the first modem to be announced with such capabilities.
    Samsung’s choice of going with a discrete 5G modem this generation seems to be related to the economics of the new silicon: The new 5G modems are still quite large in die size, although the Exynos Modem 5100 was amongst the smallest at 56.03mm². Still, both the new modem as well as the Exynos 990 are manufactured on Samsung’s 7nm EUV node, and separating the two into discrete chips likely makes sense at this point in time in order to offer more flexibility and to increase manufacturing yield.
    One question which remains open, is whether Samsung will be opting to pair the Exynos 990 with a different modem than the 5123. Still, it’s unlikely that we’ll see any pairing with a 4G modem, meaning all Exynos flagship devices next year will have 5G support.
    Samsung says we’ll see the Exynos 990 in mass production by the end of the year, and we’re likely have the Galaxy S11 being powered by the new piece.
    Related Reading:




    More...

  5. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #9985

    Anandtech: Intel Files Antitrust Suit Against SoftBank-Controlled Firm Over Patent Ag

    Intel this week filed an lawsuit against Fortress Investment Group, a patent assertion entity controlled by SoftBank. Responding to a series of patent infringement lawsuits that Fortress has brought against Intel in recent years, Intel's latest lawsuit accuses Fortress of engaging in anticompetitive behavior, alleging that Fortress stockpiled technology patents in order to patent troll Intel. As a result, Intel is asking the courts to declare Fortress’s patent aggregation business practice as unlawful, and essentially unwind Fortress's operations.
    In the recent years IP aggregation with an aim to enforce patents and receive royalties has developed into a business in and of itself. This has lead to the rise of firms known as patent assertion entities (PAEs) — or commonly called patent trolls — who acquire a large number of patents from various companies and then license them (often in package deals) to high-tech companies who are developing actual products.
    Through a network of PAEs that Fortress controls, it owns more than a 1,000 U.S. technology patents. Among these are patents originally granted to NXP Semiconductor, giving Fortress a fairly deep collection of chip design patents to enforce. As a result, Fortress (and other PAEs it controls) have previously filed lawsuits against Intel claiming that virtually all CPUs from the company produced since 2011 illegally use the NXP IP.
    While Intel is not contesting the validity of the patents themselves, the company is contesting the legality of Fortress's actions with those patents. In its suit, Intel claims that Fortress's patent aggregation business violates Sections 1 and 2 of the Sherman Antitrust Act, as well as Sections 4 and 7 of the Clayton Act (an antitrust law covering unethical business practices), with Intel asking the U.S. District Court for the Northern District of California to act and remedy the situation. Overall, Intel is asking the court to declare Fortress’s patent aggregation business practice as unlawful, declare all patents obtained in violation of the laws as unenforceable, declare all patent contracts and agreements entered into violation of laws as illegal, and transfer all of the claimed patents back to the transferors.
    Ultimately, Intel's case rests on the idea that patent enforcement actions by PAEs do not necessarily help to drive innovation by inventors, but rather they hurt manufacturers. As such, what's being called into question is how those patents are being used and enforced, rather than their technical validity. Especially, as Intel insinuates, that Fortress's entire business model is based around the idea of parlaying patents – specifically looking to profit from the spread between what Fortress pays for the patent and what they think they can get companies to settle for out of court (rather than spending even more money defending them in court).
    Intel for its part is hardly new at fighting against patent trolls. However, the major complication in this case is that Fortress is a SoftBank business – having been acquired for $3.3 billion back in 2017 – meaning it has the backing of the Japanese conglomerate. So Fortress is far more well heeled than the typical PAE, and SoftBank itself is already a natural competitor to Intel, as it also owns Arm.
    Here is what Intel said in its antitrust suit titled ‘Intel Corporation v. Fortress Investment Group LLC et al’ (5:2019cv06856):
    Intel brings this complaint to end a campaign of anticompetitive patent aggregation by Fortress and a web of PAEs that Fortress owns or controls. […]
    Through its anticompetitive aggregation scheme, Fortress has engaged in anticompetitive conduct in creating a portfolio of patents that purportedly read on electronic devices and components or software therein and processes used to manufacture them that allows it to charge far more than the value of the inventive contributions (if any) of the patents and of competitive prices for licenses. Fortress and its PAEs seek to use that ill-gotten power to extract and extort exorbitant revenues unfairly and anticompetitively from Intel, and other suppliers of electronic devices or components or software for such devices and ultimately from consumers of those products. Fortress’s aggregation is thus intended for an anticompetitive purpose — to invest in patents at costs lower than the holdup value of the patents to ensnare as many potential licensees as possible and to allow it and its PAEs to assert as many possible claims of infringement to tax the commercial use of existing technology at rates beyond the actual value (if any) of the aggregated patents. […]
    Intel brings this action to remedy the harms that it has already suffered from Defendants’ violations of federal antitrust and state unfair competition laws and to prevent further harm to itself, the broader electronics industry, and U.S. consumers.
    Related Reading:


    Source: Reuters, USCourts.Gov



    More...

  6. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #9986

    Anandtech: Philips Brilliance 272P7VUBNB: A Sub-$350 27-Inch 4K IPS Monitor with 65W

    In previous years monitor capabilities such as 4K resolutions, USB-C docking, and GbE connectivity have been premium features that have only been available in high-end monitors. However the price of all of those technologies is continuing to come down, and as such, those features are filtering down to increasingly mainstream monitors. To that end, Philips is launching its Brilliance 272P7VUBNB 4K display, a moderately priced 27-inch monitor with a USB 3.2 Gen 2 Type-C connector, a GbE port, and a PowerSensor that reduces its brightness when no user is present.
    Designed primarily for office and productivity workloads, the Philips Brilliance 272P7VUBNB monitor uses a 27-inch IPS panel with a 3840×2160 resolution, 350 nits brightness, a 1000:1 contrast ratio, 178°/178° horizontal/vertical viewing angles, a 5 ms GtG response time, and a 60 Hz refresh rate. Since the LCD is not aimed at gamers or multimedia enthusiasts, it does not support a variable refresh rate technology and is not meant for HDR content. Nonetheless, it comes factory calibrated with a Delta E

  7. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #9987

    Anandtech: Samsung Launches Single-Chip uMCP Packages with LPDDR4X DRAM & UFS 3.0 Sto

    Samsung has introduced a new lineup of all-in-one memory packages for smartphones that integrate both DRAM and storage. The latest generation of uMCP devices now feature up to 12 GB of LPDDR4X DRAM as well as NAND flash storage with a UFS 3.0 interface, thus providing high performance memory for mainstream handsets in a cost-effective form-factor.
    Samsung’s UFS-based multichip packages (uMCPs) integrate 10 GB or 12 GB of LPDDR4X-4266 memory (made using the company’s 2nd Generation 10nm-class process technology) as well as NAND flash storage featuring a UFS 3.0 interface. Since both new uMCP modules incorporate four DRAM devices, they will allow the latest SoCs with quad-channel LPDDR4X memory controllers to reach up to 34.1 GB/s memory bandwidth. Meanwhile on the NAND side of matters, Samsung's official announcement doesn't list what storage capacities will be available there, but the company has commented that they can provide the uMCPs in a variety of capacities.
    Meanwhile, the new uMCP devices are also mechanically compatible with Samsung's previous-generation 8GB uMCPs, utilizing the same 254FBGA package.
    Samsung's uMCPs w/ UFS 3.0 Storage
    DRAM NAND
    10 GB 2×24 Gb (3 GB) + 2×16 Gb (2 GB) devices eUFS 3.0
    12 GB 4×24 Gb (3 GB) devices eUFS 3.0
    Today, only high-end smartphones come equipped with 12 GB of LPDDR4X memory, but Samsung expects its new uMCPs to enable upcoming mid-range handsets to feature 10 GB or 12 GB of DRAM. The new uMCP devices are already in mass production, so expect smartphones launching in the coming months to use them.
    Samsung did not disclose pricing of its 10 GB uMCP and 12 GB uMCP chips, but expect them to cost in accordance with prices of DRAM and storage.
    Related Reading:


    Source: Samsung



    More...

  8. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #9988

    Anandtech: Realtek 2.5 GbE PCIe Cards Now For Sale

    A Japanese company has started sales of its 2.5 GbE network card that uses a Realtek controller. The adapter is a low-profile PCIe 2.0 x1 board that is compatible with the vast majority of desktop PCs that are used today. However, it isn't as cheap as one might expect.
    The 'Area Mr. Jack' 2.5 GbE LAN (SD-PE25GLAN-1L) network adapter is a low-profile PCIe 2.0 x1 card based on Realtek’s RTL8125 controller launched over a year ago and currently used on some motherboards. Measuring 80 mm by 44 mm, the card is very small and simplistic, it has one RJ-45 connector and is equipped with two status LEDs. Besides 2.5 GbE (IEEE802.3bz 2.5G BASE-T) over conventional Cat5/Cat6 cables, it supports previous-generation protocols too, including 1 GbE and below. For OS compatibility, the NIC comes with drivers for Windows 7/8/8.1/10, whereas the status of Linux support is unclear.
    The Area Mr. Jack 2.5 GbE LAN card costs ¥3,980 including tax ($33.30 without VAT), which is not a particularly low price considering the fact that GbE ports are ‘free’ with most motherboards and Aquantia-based 5 GbE and 10 GbE cards can be bought for $70 and $90, respectively. Also, as there are no cheap 2.5 GbE and 5 GbE switches on the market yet, one will have to use a multi-mode 2.5/5/10 GbE switch, which is not cheap as well, so using 2.5 GbE cards instead of faster options may not be that economically viable.
    But there is a catch about this card: it only uses a PCIe 2.0 x1 slot. It can be installed into every desktop with a spare PCIe 2.0 x1 slot, which essentially means any desktop launched in the last 10+ years as well as low cost systems that only come with PCIe 2.0 x1 slots. By contrast, 10 GbE cards come with a PCIe 3.0 x4 interface, whereas 5 GbE cards feature a PCIe 3.0 x1 interface, something that the cheapest PCs based on Intel’s H110 and similar chipsets does not support.
    Related Reading:


    Source: Area (via Akiba PC Hotline)


    More...

  9. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #9989

    Anandtech: Micron Announces 5300 And 7300 Series Enterprise SSDs

    Among several announcements today, Micron is updating two families of enterprise/datacenter SSDs to use their latest 96-layer 3D TLC NAND flash memory.
    SATA Update

    The new 5300 series SATA drives are a replacement for the 5200 series that uses 64L 3D TLC. Micron has made a few changes to capacity and form factor options, increasing the maximum capacity available in the highest endurance tier and bringing back the M.2 options that were available with the 5100 series but missing from the 5200 series. Starting at the high end, the 5300 MAX family is the replacement for the 5200 MAX, still with a 5 DWPD endurance rating and similar performance. The 5300 MAX also adds a new 3.84TB model, but this one is only rated for 3.5 DWPD and has significantly lower random write performance.
    Moving down to the PRO tier, the 5300 generation expands the capacity range to now include sizes from 240GB all the way up to 7.68TB, where the 5200 PRO only offered 960GB through 3.84TB options. The endurance ratings are a bit more consistent with the 5300 PRO series, with most models being rated for 1.5 DWPD while the 3.84TB model is rated for 1.2 DWPD and the 7.68TB model is rated for 0.6 DWPD. For comparison, the 5200 PRO series had endurance ratings from 1.3 to 2.5 DWPD depending on capacity. The 5300 PRO adds M.2 2280 models for capacities up to 1.92TB.
    Micron 5300 Series Specifications Comparison
    5300 Boot 5300 PRO 5300 MAX
    Form Factor M.2 2280 2.5" and M.2 2280 2.5"
    Capacities 240 GB 240 GB
    480 GB
    960 GB
    1.92 TB
    3.84 TB (2.5" only)
    7.68 TB (2.5" only)
    240 GB
    480 GB
    960 GB
    1.92 TB
    3.84 TB
    Interface SATA 6Gb/s
    NAND Micron 96-layer 3D TLC
    Sequential Read 540 MB/s
    Sequential Write 220 MB/s 310–520 MB/s 380–520 MB/s
    4KB Random Read 50k IOPS 67k–95k IOPS 82k–95k IOPS
    4KB Random Write 12k IOPS 11k–40k IOPS 34k–75k IOPS
    Write Endurance 1.0 DWPD 0.6–1.5 DWPD 3.5–5.0 DWPD
    Warranty 5 years
    There isn't (yet?) a 5300 ECO tier to serve as a direct replacement for the 5200 ECO drives (~1 DWPD), but the 5300 PRO tier is now broad enough to mostly cover this market with slightly higher endurance ratings. The 5300 series does add a new Micron 5300 Boot model rated for 1 DWPD, available only with 240GB capacity and only in the M.2 form factor. No replacement for the 5210 ION QLC-based SATA drives has been announced yet.
    Low-power NVMe is back

    Micron is bringing back their low-power NVMe product family with the new 7300 series, successor to the 7100 series introduced in 2016 and abandoned in their transition to 3D NAND. The 7300 family includes 2.5"/7mm U.2 drives and M.2 drives in both 80mm and 110mm lengths. The U.2 drives offer higher capacities, and higher performance due to their 12W power limit compared to 8.25W for the M.2 drives. The high-endurance 7300 MAX series offers capacities from 400GB to 6.4TB and endurance ratings of 3.0-4.2 DWPD. The 7300 PRO series uses less overprovisioning to offer capacities from 480GB to 7.68TB with endurance ratings of 1.1-1.6 DWPD.
    Micron 7300 Series Specifications Comparison
    7300 PRO 7300 MAX
    Form Factor 2.5" 7mm U.2 M.2 2280 or
    M.2 22100
    2.5" 7mm U.2 M.2 2280
    Capacities 960 GB
    1.92 TB
    3.84 TB
    7.68 TB
    480 GB (80mm)
    960 GB (80mm)
    1.92 TB (110mm)
    3.84 TB (110mm)
    800 GB
    1.6 TB
    3.2 TB
    6.4 TB
    400 GB
    800 GB
    Interface NVMe, PCIe 3 x4 (dual-port x2+x2 capable)
    NAND Micron 96-layer 3D TLC
    Sequential Read 2.4–3.0 GB/s 1.3–3.0 GB/s 2.4–3.0 GB/s 1.3–2.4 GB/s
    Sequential Write 700–1800 MB/s 400–1000 MB/s 700–1900 MB/s 400–700 MB/s
    4KB Random Read 220k–520k IOPS 50k–396k IOPS 220k–520k IOPS 90k–220k IOPS
    4KB Random Write 30k–85k IOPS 15k–70k IOPS 60k–140k IOPS 30k–60k IOPS
    Write Endurance 1.1–1.6 DWPD 1.1–1.4 DWPD 3.1–4.2 DWPD 3.0–3.1 DWPD
    Warranty 5 years
    The Micron 7300 series is an alternative to the 9300 series NVMe drives that were introduced earlier this year. The 9300 series offers higher capacities and higher performance, but uses the thicker 15mm U.2 form factor, draws significantly more power (21W max vs 12W max for the 7300), and the 9300 series is still on 64L TLC rather than 96L TLC.



    More...

  10. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,802
    Post Thanks / Like
    #9990

    Anandtech: Crucial Introduces X8 Portable SSD

    Micron's consumer brand Crucial is entering the portable SSD market with the new Crucial X8 Portable SSD. The X8 has a USB-C 10Gb/s (USB 3.1 Gen 2) connection and internally uses a NVMe SSD based on the Crucial P1 with QLC NAND flash. The Crucial X8 is intended for general-purpose consumer storage workloads that are mostly read-intensive; even though it can handle up to ~1GB/s read speeds, the use of QLC NAND means it cannot sustain high write speeds for long and videographers would be disappointed. Instead, Crucial is touting the X8 for transferring or backing up files and photos, or for expanding the storage of a video game console.
    The SSD inside the X8 is based on the same hardware as the Crucial P1, but with some firmware tweaks to optimize for the expected use cases of external storage rather than as an internal OS drive. The USB to NVMe bridge chip is the ASMedia 2362. Crucial has worked with ASMedia to ensure that all the idle power management features are working behind the bridge, but the peak power draw of the whole drive still requires a USB host port that can supply 5V at up to 1.5A. The case is a combination of aluminum and plastic, and is rated to survive drops onto the floor but is not ruggedized with any water resistance or dust proof rating.
    The Crucial X8 is available in 500GB and 1TB capacities. It is supplied with a USB-C to USB-C cable and a USB-C to USB-A adapter. The warranty period is only 3 years compared to the Crucial P1 SSD's 5 year warranty, and the X8 doesn't come with an official write endurance rating. MSRPs for the X8 are substantially higher than current prices for the P1 SSD, but are in line with MSRPs for other high-end portable SSDs: $189.99 for the 1TB and $119.99 for the 500GB model. We expect street prices to be quite a bit lower, since the P1 is currently retailing for around $96 and $67, and USB to NVMe enclosures for a DIY portable SSD are about $25.


    More...

Thread Information

Users Browsing this Thread

There are currently 19 users browsing this thread. (0 members and 19 guests)

Tags for this Thread

Bookmarks

Posting Permissions

  • You may not post new threads
  • You may not post replies
  • You may not post attachments
  • You may not edit your posts
  •  
Title