Results 11,871 to 11,880 of 12096
Thread: Anandtech News
-
09-05-23, 07:41 PM #11871
Anandtech: Intel Foundry Services to Make 65nm Chips for Tower Semiconductor
In quite an unexpected turn of events, Intel on Tuesday announced that its foundry division would produce chips for contract chip maker Tower Semiconductor. Tower was a previous acquisition target for Intel, with that deal unraveling just a few weeks ago due to a lack of regulatory approval from China. But, as it would seem, despite the failure of the acquisition, it seems that Intel and Tower will be working together after all – just with Intel doing fab work for Tower. Under the new deal, Intel will make chips for Tower's customers at its Fab 11X in Rio Rancho, New Mexico, which is one of Intel's leading-edge fabs.
Based on the agreement's conditions, Intel Foundry Services will provide a 'new capacity corridor of over 600,000 photo layers per month' for Tower to meet the anticipated client needs for 300mm advanced analog processing, something that Intel has not done at its own fabs for a while. IFS will produce chips for Tower starting from 2024, when Tower's process flow is qualified at its fab. In return, Tower plans to invest up to $300 million in procuring of fab tools and other fixed assets for Intel's Fab 11X.
While the two companies remain tight lipped about actual products that IFS will produce for Tower Semiconductor, they did imply on power management ICs using Tower's 65 nm power management BCD (bipolar-CMOS-DMOS) process. Meanwhile both firms have alluded to utilizing other production nodes at Intel’s Fab 11X, including 65 nm radio frequency silicon-on-insulator (RF SOI), which would be the first time when Intel will use SOI of any kind.
"As we look to the future, our primary focus is to expand our customer partnerships through high-scale manufacturing of leading-edge technology solutions," said Russell Ellwanger, chief executive officer of Tower Semiconductor. "This collaboration with Intel allows us to fulfill our customers' demand roadmaps, with a particular focus on advanced power management and radio frequency silicon on insulator (RF SOI) solutions, with full process flow qualification planned in 2024. We see this as a first step towards multiple unique synergistic solutions with Intel."
For Tower, the deal marks a progressive move towards greater expansion, catering to a growing clientele in 300 mm technologies. The augmented scale from this deal enables Tower to address broader opportunities using its current production nodes, but also to bolster relationships with large clients with massive needs, laying the groundwork for development of future production nodes.
Intel, on the other hand, will be able to fully use its Fab 11X capacity in New Mexico without needing to invest in all of the tools that will eventually end up installed there.
"We launched Intel Foundry Services with a long-term view of delivering the world's first open system foundry that brings together a secure, sustainable, and resilient supply chain with the best of Intel and our ecosystem," said Stuart Pann, Intel senior vice president and general manager of Intel Foundry Services. "We are thrilled that Tower sees the unique value we provide and chose us to open their 300mm U.S. capacity corridor."
More...
-
09-05-23, 07:41 PM #11872
Anandtech: ASRock Reveals 4x4 Box 7040 Series: SFF PCs with AMD Phoenix and USB4
ASRock Industrial has introduced a new lineup of NUC-like systems based on AMD's Ryzen 7040-series 'Phoenix' processors for laptops and compact desktops. The new 4x4 Box 7040 series compact PCs can be used for a wide variety of workloads given their high performance, advanced built-in graphics, rich connectivity, and USB4 support.
ASRock's 4x4 Box 7040 systems are built around AMD's latest generation, Zen 4-based Ryzen 7 7840U (8C/16T, 5.10 GHz, Radeon 780M with 768 stream processors, AI accelerator) or Ryzen 5 7640U (6C/12T, 4.90 GHz, Radeon 760M with 512 stream processors, AI accelerator) CPUs. The mini-PCs can be equipped with up to 64 GB of DDR5-5600 memory using two SO-DIMMs as well as two M.2 SSDs with a PCIe 4.0 x4 or SATA interface. The processor is cooled down using an active cooling system to ensure its consistent performance under high loads, though the manufacturer does not disclose its noise level.
Traditionally for ASRock's 4x4 Box PCs, connectivity department of the company's new compact machine is quite advanced as it as a Wi-Fi 6E + Bluetooth 5.2 adapter, one 2.5 GbE port and one GbE port, two USB4 Type-C connectors with DP Alt Mode support on the front, three USB Type-A ports (USB 3.2 Gen2, two USB 2.0), four display outputs (two DP 1.4a using USB-C, two HDMI 1.4b), and a TRRS audio jack for headsets.
The system measures 117.5×110.0×47.85mm (4.63×4.33×1.88 inches) and can be mounted on the backside of a display using VESA mounts to save some desk space.
ASRock's 4x4 Box 7040 series systems are listed on the company's website, so expect them to become available shortly. In addition, the company also offers 4x4 7040 motherboards based on AMD's Ryzen 7 7840U or Ryzen 5 7640U SoCs for system integrators that have access to miniature chassis or would like to use these platforms for their own embedded designs.
More...
-
09-06-23, 10:02 PM #11873
Anandtech: ASML to Deliver First High-NA EUV Tool This Year
In a promising sign for the development of the next generation of EUV lithography machines, ASML has revealed that the company is set to deliver the industry's first High-NA extreme ultraviolet (EUV) lithography scanner by the end of the year. That machine, the 0.55 numerical aperture (NA) Twinscan EXE:5000 pilot scanner, is being developed for chipmakers so that they may learn how to efficiently use High-NA EUV technology. Following those R&D efforts, high volume manufacturing of chips using High-NA scanners expected to commence in 2025, when ASML begins shipping the commercial-grade Twinscan EXE:5200 scanner.
"A few suppliers had some difficulties in actually ramping up and also giving us the right level of technological quality, so that led to some delay," said ASML CEO Peter Wennink, in a brief interview with Reuters. "But in fact, the first shipment is still this year.
Currently, the most sophisticated EUV scanners in various fabs are ASML's Twinscan NXE:3400C and NXE:3400D. These scanners are equipped with 0.33 numerical aperture (NA) optics, delivering a 13 nm resolution. Such a resolution is suitable to print chips on manufacturing technologies featuring metal pitches between 30 nm and 38 nm. However, when pitches drop below 30 nm (at nodes beyond 5 nm), 13 nm resolution will not be enough and chipmakers will have to use EUV double patterning and/or pattern shaping technologies. Given that double patterning EUV can be both costly and fraught with risks, the industry is working on High-NA EUV scanners, which have a 0.55 NA, to achieve an 8nm resolution for manufacturing technologies intended for the latter half of the decade.
ASML's High-NA scanners will once again change configurations of semiconductor fabs as they will not only employ new optics, but will also need a new and bigger light source, which will require new fab structures leading to significant investments. Though ASML's High-NA scanners are expected to be significant investments themselves, with various reports pointing to $300 - $400 million per unit, up from over $200 million per 0.33 NA EUV scanner.
Intel had originally planned to use ASML's High-NA tools for its 18A (1.8 nm) production node, which was set for high-volume manufacturing in 2025, coinciding with ASML's anticipated delivery of its Twinscan EXE:5200. However, Intel later pulled in the commencement of its 18A production to the latter half of 2024, apparently opting to use ASML's Twinscan NXE:3600D/3800E with two exposures, as well as Applied Material's Endura Sculpta pattern-shaping system to reduce usage of EUV double patterning.
Intel is expected to be the alpha customer for ASML's pilot High-NA scanner, so when it receives the machine later this year, its developers and engineers will be able to adjust Intel's process technologies to the upcoming production tools. Given the timing of the tools versus Intel's own process node plans, for the moment it remains unknown just how and when they will integrate the tools into their processes. As 18A is expected to be a long-term node, Intel may still be intending to use High-NA EUV with it, even if that option isn't viable at the start.
Meanwhile, Samsung Foundry and TSMC are slated to start production of chips on their 2 nm-class nodes (SF2, N2) in late 2025. Though just how High-NA machines factor into their plans remains equally up in the air.
More...
-
09-06-23, 10:02 PM #11874
Anandtech: Arm's Clients and Partners Signal Interest to Invest $735 Million Ahead of
According to fresh SEC filings from Arm, the chip IP designer has secured a slew of industry investors ahead of the company's impending IPO. Aiming for a strong start to what Reuters reports is projected to be a $52 billion IPO valuation, Arm has been seeking out major industry customers as cornerstone investors, successfully lining up nearly a dozen companies from their efforts. Altogether, AMD, Apple, Cadence, Google, Intel, MediaTek, NVIDIA, Samsung, Synopsys, and TSMC have signaled an interest to purchase up to an aggregate of $735 million of Arm's American Depositary Shares (ADS), SoftBank, the owner of Arm, disclosed in a filing with the Securities and Exchange Commission.
While the exact number of shares to be purchased has not been disclosed – and may very well change ahead of the IPO as the current inquiries are non-binding – at the upper-end price of $51/share, a $735 million purchase would represent just over 15% of the 95.5 million Arm shares that SoftBank intends to offer as part of the IPO. Or, measured against the projected $52 billion valuation of the company, this would leave the cornerstone investors owning a collective 1.4% of Arm.
The list of companies that plan to purchase Arm shares is pretty impressive as it contains not only Arm's partners and clients like Apple, Cadence, Google, Samsung, and TSMC, but also customer-rivals, such as AMD and Intel, who both use Arm IP in some of their chips while competing with Arm designs in other chips. Meanwhile, some of Arm's other big customers are notably absent from the cornerstone investor group, including Qualcomm and Amazon.
Overall, the cornerstone investors represent a mix of fabless chip designers and tool vendors, as well as all three of the world's leading fabs themselves. For Intel's part, the company is establishing its Intel Foundry Services group to produce chips for fabless chip designers, and virtually all of them use Arm's cores. Therefore, close collaboration with Arm is something that IFS needs to have, and a good way of making friends with Arm is to own a piece of it.
"80% of TSMC wafers have an Arm processor in them," said Stuart Pann, Senior Vice President and General Manager of Intel Foundry Services, at the Goldman Sachs Communacopia & Technology Conference, reports Tom's Hardware. "The fact that our organization, the IFS organization, is embracing Arm at this level, investing in Arm, doing partnerships with Arm should give you a signpost that we are absolutely serious about playing this business. Because if you are not working with Arm, you cannot be a foundries provider."
Interestingly, the head of Intel's foundry unit even said that IFS will have to focus more on Arm and RISC-V going forward as both instruction set architectures are going to drive chip volumes and volumes is what Intel wants at its fabs.
Meanwhile Apple, one of the founders of Arm back in the 1990, extended its license agreement with Arm beyond 2040, which is a testament that the company is confident of the ISA and its development, at least for now. Keeping in mind that for now all of Apple's products use at least one Arm's CPU core, it is not reasonable that the companies are going to remain partners for the foreseeable future.
More...
-
09-07-23, 08:51 AM #11875
Anandtech: The Be Quiet! Silent Loop 2 AIO Cooler Review: Quiet and Unassuming
A familiar presence here at AnandTech, Be Quiet! has settled in to distinct niche for itself in the PC peripherals market over the years by simply living up to the company's name. Tuning their device designs for minimal acoustics, the company successfully expanded into all-in-one (AIO) liquid coolers back in 2016. It's a product segment where, even though the design of closed-loop systems is quite restrictive, the company’s engineers have always been trying to innovate and differ from the competition. A prime example of this approach could be the Pure Loop series that hit the market back in 2020, which had a decoupled liquid pump.
Jumping forward to the present, today we are taking a look at the latest AIO cooler series from Be Quiet!, the Silent Loop 2. This is an advanced cooler series that is designed to fully maximize the performance-to-noise ratio for demanding users. The Silent Loop 2 series consists of four coolers that are, as is usually the case, practically identical with the exception of the radiator size, which ranges from 120 mm to 360 mm. For our review we received the most popular version of the series, the 280 mm Silent Loop 2 cooler.
More...
-
09-07-23, 07:06 PM #11876
Anandtech: TSMC: Short Supply of HPC GPUs to Persist for 1.5 Years
The reports about an insufficient supply of compute GPUs used for artificial intelligence (AI) and high-performance computing (HPC) servers became common in recent months as demand for GPUs to power generative AI applications exploded. TSMC admits that the biggest compute GPU supply bottleneck is its chip-on-wafer-on-substrate (CoWoS) packaging capacity, as it is used by virtually everyone in the AI and HPC business. The company is expanding CoWoS capacity but believes that its shortage will persist for 1.5 years.
"It is not the shortage of AI chips," said Mark Liu, the chairman of TSMC, in a conversation with Nikkei. "It is the shortage of our CoWoS capacity. […] Currently, we cannot fulfill 100% of our customers' needs, but we try to support about 80%. We think this is a temporary phenomenon. After our expansion of [advanced chip packaging capacity], it should be alleviated in one and a half years."
TSMC currently produces the vast majority of processors that power popular AI services, including compute GPUs (such as AMD's Instinct MI250 and NVIDIA's A100 and H100), FPGAs, and specialized ASICs from companies like d-Matrix and Tenstorrent as well as proprietary processors from cloud service providers, such as AWS's Trainium and Inferentia as well as Google's TPU.
It is noteworthy that compute GPUs, FPGAs, and accelerators from CSPs all use HBM memory to get the highest bandwidth possible and use TSMC's interposer-based chip-on-wafer-on-substrate packaging. While traditional outsourced semiconductor assembly and test (OSAT) companies like ASE and Amkor also offer similar packaging technologies, it looks like TSMC is getting the lion's share of the orders, which is why it can barely meet demand for its packaging services.
Industry analysts believe that OSATs are less motivated to offer advanced packaging services because it requires them to invest hefty amounts of capital and poses more financial risks than traditional packaging. For example, if something goes wrong with a mainstream processor that sits on an organic substrate, an OSAT loses only one chip, whereas if something goes wrong with a package carrying four chiplets and eight HBM memory stacks, the company loses hundreds if not thousands of dollars. Since OSATs do not get substantial margins making those chiplets, such risks slow down the expansion of advanced packaging capacity at OSATs, even though advanced packaging costs significantly more money than traditional packaging.
Just like its industry peers, TSMC is spending billions on upcoming advanced packaging facilities. For example, the company recently announced plans to spend nearly $2.9 billion on a packaging fab that is rumored to come online in 2027.
"We are increasing our capacity as quickly as possible," said C.C. Wei, chief executive of TSMC, at the company's earnings call earlier this year. "We expect these tightness somewhat be released in next year, probably towards the end of next year. […] I will not give you the exact number [in terms of processed wafers capacity], but CoWoS [capacity will be doubled in 2024 vs. 2023]."
Source: Nikkei
More...
-
09-08-23, 07:27 PM #11877
Anandtech: Minisforum Unveils Mini-ITX Motherboard with MXM Slot for… SSDs
Minisforum continues to diversify its business beyond compact PCs and this week it introduced a rather unusual Mini-ITX motherboard with an MXM slot. Typically used for graphics cards, Minisforum's Eyertec AD650i motherboard instead comes with an MXM adapter to house up to three M.2 SSDs. All together, the Mini-ITX board is able to drive 4 M.2 SSDs, as well as a couple of SATA SSDs for good measure.
[making the platform suitable for an HTPC, NAS, or a small desktop that needs three M.2 drives.]
The Minisforum AD650i Mini-ITX motherboard itself is based on Intel's laptop-grade Core i7-12650H processor (6P + 4E CPU cores, up to 4.70 GHz, 24 MB cache, UHD Graphics with 64 EUs, 45W PBP) that can be paired with up to 64 GB of DDR4 memory using two SO-DIMMs, an M.2 NVMe/PCIe SSD, and two SATA 3.0 drives. Meanwhile, the MXM carrier board can add three more M.2 SSDs (albeit with a PCIe interface) that can be set to work in RAID0, RAID1 and RAID5 modes.
Since Minisforum supplies the MXM adapter for three M.2 drives with the Eyertec AD650i motherboard, it clearly positions the unit for NAS and similar devices that need to feature a lot of storage devices. Though as there are not a lot of high-capacity M.2-2280 SSDs around that can rival hard drives in terms of per-TB cost, the platform will likely land in something of a niche portion of the NAS segment.
Those who would like to use the Eyertec AD650i for a PC, the MXM slot is not limited to SSDs and can technically be used to install a graphics card. But as MXM graphics cards have fallen out of favor (in place of soldered-down solutions), finding one worth installing is a whole other matter.
Rounding out the rest of the I/O options for the AD650i, the board comes with a USB4-capable USB-C port, four USB 3.0 Type-A ports, a Wi-Fi 6E + Bluetooth adapter, a 2.5 GbE port, and two HDMI display outputs.
Minisforum's Eyertec AD650i is now available from the company for ¥2,599 ($313 without VAT), which is not a particularly low price. Though, given the fact that we are dealing with a unique product, this is not something unexpected, but a price that high underscores the fact that this is likely to be a niche product.
More...
-
09-11-23, 05:37 PM #11878
Anandtech: Epos Winds Down Former Sennheiser Gaming Headphone Business
Epos has announced that it will be exiting the gaming headphone business and will instead focus on enterprise communications products. The company's gaming products division, which was formerly part of the legendary Sennheiser, was responsible for shipping a number of notable gaming headsets over the past decade. However, the continuing weakness of the wired consumer audio market – and especially the gaming market – has taken its toll.
Established in 2020 when Sennheiser and its partner Demant decided to part ways from their joint venture of nearly 20 years, Demant-owned Epos became the new home for what were Sennheiser's enterprise and gaming product divisions. While hopes were high for Epos as the gaming market has been growing in the recent years, Epos's gaming business performed weaker-than-expected in 2022 – 2023. And, facing a situation where additional investments would be required to keep the gaming division alive, Demant has decided to cut its losses and focus on enterprise communications.
According to social media posts from Epos staff members, the company has already laid off all of its gaming division employees. Though the company has stated that it will continue to support customers and sell off inventory of existing products in the coming months. That self-off process is expected to take a decent bit of time, with Epos expecting it to stretch in to 2024.
"Since the demerger of our joint venture with the Sennheiser group, our Gaming business has faced a volatile market environment," said Søren Nielsen, President & CEO of Demant. "Following extraordinary demand sparked by the pandemic in 2020, the gaming market has slowed down significantly due to weak consumer sentiment, and we do not see a viable path to creating a profitable business without significant investments in products, brand and distribution. We of course regret the impact our decision will have on affected employees and would like to thank all employees who have worked very hard to build the Gaming business under difficult circumstances."
Looking ahead, Demant's primary focus will be on fortifying its position in the enterprise solutions market. The company aims to expand its product range for businesses and grow its distribution partnerships.
Financially, Demant anticipates some minor one-time costs in 2023 due to this shift. However, the overall financial forecast for the year and beyond remains stable. The Gaming division accounted for approximately 15% of the Communications segment's revenue in the first half of 2023. By 2024, with the combination of this phase-out and previous cost-saving measures, Demant expects its operational expenses in Communications to drop to DKK 450-500 million ($65 - $72 million) annually. This reduction is anticipated to lead to improved margins and a more favorable financial position in the near future.
More...
-
09-11-23, 05:37 PM #11879
Anandtech: Qualcomm Extends Deal To Supply 5G Modems for Apple Through 2026
Qualcomm just shot over a brief note this morning, announcing that the company has signed an extended agreement with Apple to supply 5G modem-RF chips for their smartphones and other products that will be released in 2024, 2025, and 2026. The unusual Apple-related announcement, notably coming from a vendor rather than the tech juggernaut itself, underscores the cold-but-necessary relationship between Apple and Qualcomm: Apple has made it no secret that the company wants to develop their own modems and end their reliance on Qualcomm. But with this latest deal, they are clearly not there yet. Which means that, at least for the next few years, Qualcomm's Snapdragon modems are going to remain a core part of Apple’s mobile product lineup.
The timing of this announcement comes a day before Apple’s annual fall iPhone event – this year’s titled “Wonderlust” – where the iPhone 15 family of phones is widely expected to be introduced. Qualcomm and Apple’s most recent agreement, prior to today’s announcement, runs through the end of 2023, and the iPhone 15 generation of phones is widely expected to use some version of Qualcomm’s 5G modem and RF front-end.
Past that, Apple has been hard at work on development their own 5G modem, based in large part on the cellular modem technology they purchased from Intel back in 2019. With their own modem, Apple would no longer need to rely on Qualcomm for a critical component of their phones, a significant point of friction for the company given the costs and royalties involved.
Today’s announcement of a new deal with Qualcomm, however, throws some cold water on those expectations. As always, the devil is in the details – Qualcomm’s agreement is not an exclusive agreement – but it means that Apple has, at a minimum, determined that they need to hedge their bets and have a deal in place with Qualcomm to purchase modems for the next few years should they are needed. As with the previous deal, Qualcomm’s public expectation is that Apple will do a phased roll-out rather than a hard switch – leaving Qualcomm with possibly as little as a 20% share of Apple’s phones in 2026 – but at 3 years out, plans can and do change, as we’ve since seen with the original Apple-Qualcomm deal.
Ultimately, as Apple’s modem development project is an internal project for a specific component, it’s likely the notoriously tight-lipped company will have anything else to say on the matter until they’re ready to ship a modem in volume. But by letting Qualcomm speak about their future together for the next three years, it makes it clear that Apple isn’t going to be setting off on their own – at least, not quite yet.
More...
-
09-12-23, 04:59 PM #11880
Anandtech: Western Digital Releases WD_Black SN770M: M.2-2230 SSD for Consoles
Western Digital has unveiled a compact version of its WD_Black SN770 SSD, tailored mainly for handheld gaming consoles such as the Asus ROG Ally and Valve Steam Deck. The WD_Black SN770M delivers the performance typical of a midrange PCIe 4.0 SSD, with support for features like Microsoft's DirectStorage.
As implied by its name, the WD_Black SN770M is built on the same platform as its larger counterpart, the WD_Black SN770. It offers comparable performance metrics: sequential read speeds of up to 5150 MB/s, sequential write speeds of up to 4900 MB/s, and random read/write IOPS of up to 740K/800K. While the SN770M's figures might not rival the latest PCIe Gen5 drives, keep in mind that these are compact SSDs designed for portable, heat and power-constrained consoles.
Western Digital does not disclose what specific controller is or NAND is used in most of their drives these days. Notably, the company already offers an PCIe Gen 4 M.2-2230 drive for OEMs, the SN740, which lists the same performance specifications. So the SN770M may just be a retail redress of the SN740. Which, given how popular the SN740 has been as an aftermarket upgrade for the Steam Deck, it's more surprising that WD wasn't already selling a version of that drive in the retail market.Western Digital WD_BLACK SN770M SSD Specifications Capacity 500 GB 1 TB 2 TB Model WDS500G3X0G-00CHY0 WDS100T3X0G-00CHY0 WDS200T3X0G-00CHY0 Controller SanDisk NAND Flash ? Form-Factor, Interface Single-Sided M.2-2230, PCIe 4.0 x4, NVMe 1.4 DRAM N/A Sequential Read 5000 MB/s 5150 MB/s Sequential Write 4000 MB/s 4900 MB/s 4850 MB/s Random Read IOPS 460K 740K 650K Random Write IOPS 800K Avg. Power Consumption ? W ? W ? W Max. Power Consumption ? W (R)
? W (W)? W (R)
? W (W)? W (R)
? W (W)SLC Caching Yes TCG Opal Encryption No MTTF 1.75M Hours Warranty 5 years Write Endurance 300 TBW
0.33 DWPD600 TBW
0.33 DWPD1200 TBW
0.33 DWPDMSRP $74.99 $109.99 $219.99
In terms of storage capacity, the WD_Black SN770M is available in 500 GB, 1 TB, and 2 TB variants, mirroring what its larger sibling provides. Given that both the Asus ROG Ally and Valve's Steam Deck ship with no more than 512 GB of storage, the 1 TB and 2 TB options present a substantial upgrade.
The 1TB model, priced at $109.99, is available exclusively through Western Digital's online store and Best Buy. In contrast, the 2TB version is sold only at Best Buy for $219.99. Currently, the 500 GB model is available for $74.99 from Western Digital's online store, as well as other e-tailers, retailers, and resellers. All these drives come with Western Digital's five-year limited warranty.
The M.2-2230 form factor was primarily developed for SSDs powering ultra-thin laptops, which typically don't demand top-tier performance. While there are indeed high-performance SSDs with the M.2-2230 form factor, most aren't designed for gaming systems. This makes it challenging for owners of portable consoles like the ROG Ally or Steam Deck to upgrade to a more advanced SSD. With the introduction of the WD_Black SN770M, Western Digital offers a retail solution tailored for gamers, blending solid performance with gaming-centric features, a combination rare in M.2-2230 drives.
More...
Thread Information
Users Browsing this Thread
There are currently 55 users browsing this thread. (0 members and 55 guests)
Bookmarks