Thread: Anandtech News

  1. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #11971

    Anandtech: Intel Reiterates: We Are Not Going to Spin Off IFS

    When Intel struggled with its 10nm process technology a few years ago, some investors suggested that the company would be better-off spinning its chip production into an independent foundry, leaving the core of the company to focus on chip design instead. Bucking these calls, however, Intel opted to keep chipmaking in-house, even going as far as to creating Intel Foundry Services to use those facilities to do contract chipmaking for other chip designers.
    With the significant capital required to scale up the chip fab side of the business, it's a decision that, even today, Intel executives still get asked about. That was once again the case yesterday, at Intel's investor-focused AI Everywhere event at the Nasdaq MarketSite, where Intel CEO Pat Gelsinger reiterated that the company is not going to spin off their foundries.
    "The idea of the internal foundry model, we think, is the right path for us in the current environment," Gelsinger told Reuters.
    IFS is currently a distinct manufacturing operations unit within Intel that operates like 'an internal foundry', which the company then 'outsources' production of its processors and other products. Since returning to Intel, Gelsinger has been steadfast about wanting IFS to stay that way, keeping IFS an internal unit rather than to spin it off. It's a decision that's been in notable contrast to some other Intel divisions, such as Mobileye and the Programmable Solutions Group, which have been (or will be) spun off into separate businesses.
    With that said, Intel will be bringing more transparency to the financials of its foundry division. Starting from Q2 next year, Intel will report financial results of IFS as if it was a separate business, which will give a clear understanding how much the unit earns and provide a better understanding of how IFS operations stack up against those of TSMC, Samsung Foundry, GlobalFoundries and other top contract chipmakers.
    Ultimately, Intel believes that there are clear benefits to operating in a unified manner, especially, as explained by Gelsinger in his interview, that Intel is using the majority of the factory's capacity right now.



    More...

  2. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #11972

    Anandtech: Apple to Halt Sales of Watch Series 9 and Ultra 2 in the U.S. Due to Paten

    Apple on Monday said it would pause sales of its Watch Series 9 and Ultra 2 in the U.S. due to an ongoing patent dispute. The patents in question cover the blood oxygen feature in the watches, and belong to medical technology company Masimo. Apple itself said it would halt sales of its latest oxygen sensor-equipped smart watches online starting December 21, and in retail starting December 25.
    The dispute's roots lie in Masimo's claims that Apple's blood oxygen sensor infringes on 15 of its patents. The ITC ruling, announced in October, upheld a judge's decision from January and triggered a 60-day Presidential Review Period, which will be expiring on December 25. Despite the possibility of a veto from President Biden, Apple decided to halt sales of its latest smart watches as a proactive measure.
    Sales of the affected Apple Watch models will cease on Apple's website after 3 PM ET on December 21 and in Apple's retail stores after December 24. Notably, this ban is exclusive to Apple’s direct sales channels; third-party retailers like Amazon and Best Buy can continue selling the devices until December 25. This limited scope of the ban provides a brief window for consumers to purchase these models from alternative sources in time for Christmas.
    Behind the scenes, Apple is actively contesting the ruling and exploring various legal and technical options to ensure the continued availability of its watches. The company argues that the ban could adversely affect both consumers and the broader economy, given the significant revenue generated by Apple's wearables business, especially during the Q1 2023 holiday quarter. Meanwhile, Masimo maintains its stance, viewing the ITC's ban as a strong message about adhering to patent laws.
    The Patent Trial and Appeal Board evaluated 17 Masimo patents, invalidating 15, a decision that Masimo is now contesting. During Masimo's trade secret misappropriation trial in May, a judge dismissed half of its 10 claims due to insufficient evidence. Of the remaining claims, a majority of jurors sided with Apple, but with one dissenting, they could not reach a unanimous verdict, leading to a mistrial. A date for a new trial has yet to be set.
    Currently, there is no defined duration for the unavailability of Apple Watch Ultra 2 and Series 9. While a veto from the Biden administration remains possible, Apple plans to appeal the ITC's decision with the U.S. Court of Appeals for the Federal Circuit after the Presidential Review Period, starting December 26. This appeal will not postpone the sales and import ban on these models since the disputed Masimo patents are valid until August 2028, but if the court sides with Apple, the company could return its products to the U.S. market. Apple has alternative options, such as negotiating a settlement or a licensing deal with Masimo. Additionally, the company could redesign its smartwatches to bypass the two Masimo patents, possibly by updating Apple Watch's firmware.
    It should be noted that the ITC's decision is specific to models featuring the blood oxygen monitoring technology. As a result, the Apple Watch SE, which lacks this feature, remains unaffected and will continue to be available.
    The ban's impact is expected to affect Apple's earnings, yet it does not affect Apple Watches already sold or their functionality. Existing models with the blood oxygen sensor, introduced with the Apple Watch Series 6 in 2020, will continue to operate as usual. Furthermore, the ITC's order permits service, repair, or warranty work on units sold before December 25.
    Sources: Reuters, 9to5Mac.



    More...

  3. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #11973

    Anandtech: The XPG Core Reactor II 1200W PSU Review: XPG Goes for the Gold

    An increasingly common face in the power supply market, the bulk of XPG's work thus far has been on high-end, high-margin power supplies, such as their 80Plus Platinum-rated Cybercore II. But as the company has become better established in the PSU market on the back of multiple successful products, the company is looking to expand their footprint by venturing into the mid-range segment.
    Spearheading that effort is the new XPG Core Reactor II series. Looking to maintain their competitive edge with, what's frankly, a cheaper power supply design, XPG needs to walk a very tight rope, where where the equilibrium between performance, quality, and cost is crucial. In this category, PSUs must support a range of computing setups while maintaining a focus on value for money. The Core Reactor II series represents XPG's dedication to this segment, illustrating their capability to cater to a broad spectrum of users who seek a blend of reliable performance and economic viability.
    As an 80Plus Gold certified unit and without too many bells and whistles, the Core Reactor II stands out for its practical design, tailored to deliver consistent performance without the premium cost. In examining the details of the XPG Core Reactor II series, we will evaluate how well these PSUs align with XPG’s commitment to affordable quality and whether they meet the diverse needs of mid-range computing environments.


    More...

  4. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #11974

    Anandtech: Silicon Power MS70 SSD-in-a-Stick Review: Thumb Drive Meets Massive Capaci

    NAND flash technology has seen rapid advancement in recent years. This has resulted in the capacity limits of SSDs and thumb drives getting pushed up regularly. Companies like Kingston had innovated in the late 2010s to deliver 1TB and 2TB thumb drives. They were overpriced and over-sized for their product class at that time. However, interest in this category has been sparked again by the introduction of high-performance native UFD controllers and the appearance of cost-effective high capacity NAND dies. Silicon Power and Transcend recently released 2TB thumb drives based on the Phison U17 and Silicon Motion SM2320 native UFD controllers respectively. Read on for a comprehensive analysis of the performance profile and value proposition of the Silicon Power MS70 in its 2TB avatar.


    More...

  5. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #11975

    Anandtech: ASML Ships Industry's First High-NA EUV Litho Scanner To Intel

    ASML on Thursday said that it had shipped its pilot High-NA EUV scanner to Intel. The Twinscan EXE:5000 extreme ultraviolet (EUV) scanner is AMSL's very first High-NA scanner, and it has eagerly been awaited by Intel, who first placed an order for the machine back in 2018. Intel will be using the new machine to experiment with High-NA EUV before it deploys commercial grade Twinscan EXE:5200 tool for high-volume manufacturing (HVM) sometime in 2025. The announcement represents a major industry milestone that will have an impact not only on Intel, but eventually on the other leading-edge fabs as well.
    "We are shipping the first High NA system and announced this in a social media post today," a spokesperson for ASML said. "It goes to Intel as planned and announced earlier."
    The ASML Twinscan EXE High-NA scanner is set to make its journey from Veldhoven in the Netherlands all the way to Intel's facility near Hillsboro, Oregon, where the tool, jokingly referred to by Intel CEO Pat Gelsinger as Dr. Ann Kelleher's Christmas present, will be installed in the coming months. It is quite a colossal piece of equipment – so large, in fact, that it requires 13 truck-sized containers and 250 crates just to transport it. And once assembled, the machine is 3 stories tall, which has required Intel to build a new (and taller) fab expansion just to house it. It is estimated that each of these High-NA EUV scanners comes with a hefty price tag, likely in the range between $300 million and $400 million.
    High numerical aperture (High-NA) EUV lithography tools featuring a 0.55 NA lens are capable of an 8nm resolution, which is a significant improvement compared to current EUV tools with a 13nm resolution. These next-generation High-NA EUV scanners are expected to be important for chip production using process technologies beyond 3nm, which the industry is set to adopt in 2025 – 2026, as they will allow fabs to avoid using EUV double patterning, greatly reducing complexity while potentially enhancing yields and lowering costs.
    But ASML's Twinscan EXE lithography tools with a 0.55 NA will be significantly different than the company's regular Twinscan NXE litho machines with a 0.33 NA. An avid reader will remember from our previous reports that High-NA scanners are going to be significantly bigger than contemporary EUV scanners, which will require new fab structures. But these is by far not the only difference.
    Perhaps the biggest change between the High-NA and regular EUV scanners is the halved reticle size of the High-NA scanners, which will require chipmakers to rethink how they design and produce chips – especially at a time when high-end GPUs and AI accelerators are pushing the limits for reticle sizes. In addition, since High-NA scanners will support a higher resolution and different reticle size, they will require new photoresists, metrology, pellicle materials, masks, and inspection tools, just to name some of the alterations. In short, High-NA tools will require significant investments in infrastructure to go with them.
    Although semiconductor production infrastructure is developed by the whole industry, the best way to adopt it for real-world production is to tailor it for actual process technologies and process recipes. Which is why it is so important to start working with pilot scanners early to prepare for HVM using production machines.
    Intel was the first company to order ASML's pilot Twinscan EXE:5000 scanner back in 2018. It was also the first to place an order for ASML's commercial grade Twinscan EXE:5200 litho tool in 2022. The company is set to start development work on its 18A node (18 angstroms, 1.8nm) in 2024 and then will employ High-NA tools for a post-18A node, presumably in 2025 – 2026.
    By getting High-NA tools earlier than its rivals, Intel not only be able to ensure that its tools produce desired results, but it has a chance to set the standards for the industry when it comes to High-NA manufacturing. For Intel this might mean getting a significant advantage over its rivals, Samsung Foundry and TSMC.

    ASML announced in 2022 that it will be able to produce 20 High-NA EUV litho tools per year in 2027 - 2028. Meanwhile, the company disclosed earlier this year is that it had a double-digit number of machines in its High-NA backlog, which signals that its partners are set to adopt these scanners in the coming years. And leading that pack will be Intel.



    More...

  6. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #11976

    Anandtech: ASRock Industrial 4X4 BOX 8040 and NUC(S) Ultra 100 BOX Series Bring Accel

    ASRock Industrial maintains a lineup of ultra-compact form-factor machines in the NUC BOX (Intel-based) and 4X4 BOX (AMD-based) series. These systems have gained significant market acceptance (evidenced by the rapid iterations that the company has been able to put out over multiple generations). Being the first to market with the latest platforms has been one of the key reasons behind this.
    Both Intel and AMD have started to play up hardware-accelerated AI tasks as a key selling point in recent months. Intel has integrated support for NPUs (Neural Processing Unit - the accelerator for neural networks used in machine learning for the tech-savvy folks / artificial intelligence for the average consumer) in the recently-launched Meteor Lake SKUs. AMD's Phoenix lineup of notebook chips launched earlier this year actually had NPU components on the die in some of the SKUs (AMD's XDNA). This is now receiving more software support and marketing focus after getting re-branded as Ryzen AI in the recently-launched Phoenix refresh (8040 series APUs).
    As is usual for ASRock Industrial, the company was quick to announce their UCFF machines based on both platforms within a few days of the Intel and AMD's official launch. The 4X4 BOX 8040 Series launch was immediately followed by the introduction of the NUC(S) Ultra 100 BOX Series.
    The rich I/O options from the previous generation of 4X4 BOX and NUC(S) BOX are retained as-is, but the key updates are related to the availability of a NPU in the internal platform. On the NUC(S) BOX side, Intel now provides two additional low-power efficiency cores on a separate die in the package. Similar to the previous generation products, the 4X4 BOX 8040 Series and NUC Ultra 100 BOX Series are the thick versions (49mm height). The NUCS Ultra 100 BOX is the 38mm slim version without the 2.5" SATA drive support and the second LAN port. Each series has multiple processor options at different price points.
    ASRock Industrial's AMD Hawk Point & Intel Meteor Lake UCFF PC Lineup
    Model 4X4 BOX 8040 Series NUC Ultra 100 BOX Series NUCS Ultra 100 BOX Series
    CPU Options AMD Ryzen 7 8840U
    8C / 16T
    3.3 GHz (Up to 5.1 GHz)
    28W
    (4X4 BOX-8840U)
    AMD Ryzen 5 8640U
    6C / 12T
    3.5 GHz (Up to 4.9 GHz)
    28W
    (4X4 BOX-8640U)
    Intel Core Ultra 7 155H
    (6P + 8E + 2e / 22T)
    1.4GHz / 900MHz / 700MHz (up to 4.8GHz / 3.8 GHz / 2.5 GHz)
    28W
    (NUC(S) BOX-155H)
    Intel Core Ultra 5 125H
    (4P + 8E + 2e / 22T)
    1.2GHz / 700MHz / 700MHz (up to 4.5GHz / 3.6 GHz / 2.5 GHz)
    28W
    (NUC(S) BOX-125H)
    GPU AMD Radeon 780M
    (12 CU / 768 Shaders) @ 2.7 GHz
    (4X4 BOX-8840U)
    AMD Radeon 760M
    (8 CU / 512 Shaders) @ 2.6 GHz
    (4X4 BOX-8640U)
    Intel Arc Xe
    8 Cores @ 2.25 GHz
    (NUC(S) BOX-155H)
    Inte Arc Xe
    7 Cores @ 2.2 GHz
    (NUC(S) BOX-125H)
    NPU Ryzen AI (up to 16 TOPS) Intel AI Boost @ 1.4 GHz (up to 11 TOPS)
    DRAM Two DDR5 SO-DIMM slots
    Up to 96 GB of DDR5-5600 in dual-channel mode
    Motherboard 10.4 cm x 10.2 cm x 3.6 cm (4.02" x 4.09") UCFF
    Storage SSD 1x M.2-22(42/80) (PCIe 4.0 x4)
    1x M.2-2242 (PCIe 4.0 x4)
    1x M.2-22(42/80) (PCIe 4.0 x4)
    1x M.2-2242 (PCIe 4.0 x4)
    1x M.2-22(42/80) (PCIe 4.0 x4)
    1x M.2-2242 (PCIe 4.0 x1)
    DFF - 1 × SATA III Port (for 2.5" drive) -
    Wireless Mediatek MT7922 (RZ616)? Wi-Fi 6E
    2x2 802.11ax Wi-Fi (2.4Gbps) + Bluetooth 5.2 module
    Intel Wi-Fi 6E AX211
    2x2 802.11ax Wi-Fi (2.4Gbps) + Bluetooth 5.3 module
    Ethernet 1x 2.5 GbE RJ-45 (Realtek RTL8125)
    1x GbE RJ-45 (Realtek RTL8111H)
    1x 2.5 GbE RJ-45 (Intel I226-LM)
    1x 2.5 GbE RJ-45 (Intel I226-V)
    1x 2.5 GbE RJ-45 (Intel I226-LM)
    USB Front 1× USB 3.2 Gen 2 Type-A
    2x USB4 (with DisplayPort 1.4a)
    2× USB 3.2 Gen 2 Type-A
    1x USB4 / Thunderbolt 4 (with DisplayPort 2.1)
    1x USB 3.2 Gen 2 Type-C (with DisplayPort 1.4a Alt Mode)
    Rear 2 × USB 2.0 Type-A 2 × USB 3.2 Gen 2 Type-A
    Display Outputs 2 × HDMI 2.1 (Rear, up to 8Kp60)
    2 × DisplayPort 1.4a (using Front Panel Type-C ports, up to 4Kp60)
    2 × HDMI 2.1 (Rear, up to 4Kp60)
    1 × DisplayPort 1.4a (using Front Panel Type-C port, up to 4Kp60)
    1 × DisplayPort 2.1 (using Front Panel Type-C port, up to 4Kp60)
    Audio 1 × 3.5mm audio jack (Realtek ALC256)
    PSU External (19V/120W)
    (4X4 BOX-8840U)
    External (19V/90W)
    (4X4 BOX-8640U)
    External (19V/120W)
    (NUC(S) BOX-155H)
    External (19V/90W)
    (NUC(S) BOX-125H)
    Dimensions Length: 117.5 mm
    Width: 110 mm
    Height: 49 mm
    Length: 117.5 mm
    Width: 110 mm
    Height: 38 mm
    Product Links 4X4 BOX-8040 Series NUC BOX-100 Series NUCS BOX-100 Series
    Note that the M.2 2280 support is enabled by a separate bracket, similar to the previous generation UCFF systems from the company. The Intel platform's WLAN module seems to have received a slight upgrade from the AX210 to the AX211, which brings in Bluetooth 5.3 support. There is still no sign of Wi-Fi 7 / 802.11be support, but the industry is probably waiting for notebook makers to take the lead. In any case, for industrial PCs, the wired LAN subsystem is more important than the wireless one. The dual RJ-45 ports in most of the new models is a welcome trend from that viewpoint.
    4X4 BOX-8040 Series I/O
    The word on the street right now is that the Arc Xe Graphics in Meteor Lake brings Intel on a competitive footing against the RDNA3-based Radeon 780M in the Phoenix parts. The AI performance claims are more of a mixed bag, because Intel has been pushing a hybrid strategy that includes all of the CPU, GPU, and NPU in accelerating machine learning tasks. AMD's approach for client platforms is not clear yet beyond the promotion of the new NPU components on the die. Both companies claim to have significant support from software vendors, and that is good news for consumers.
    NUC Ultra 100-BOX Series I/ONUCS Ultra 100-BOX Series I/O
    As ASRock Industrial re-uses the case designs and thermal solutions from previous generations for rapid iterations, it takes a few releases before customer feedback gets adopted. The glossy chassis is finally gone from all versions of the products, with the matte design seen in the NUCS BOX-1300 series making its way across the board. We are still worried about the lack of an effective thermal solution for the M.2 NVMe SSDs inside these systems. It remains to be seen in hands-on evaluation if any progress has been made on that front. The other aspect is that the BIOS is usually not power-optimized at launch, but we have seen the company release regular updates even for previous generation products in an effort to address that. Other than these minor nit-picks, the NUC(S) BOX and 4X4 BOX systems from ASRock Industrial have proved to be worthy replacements for the mainstream Intel NUCs. We have reached out to ASRock Industrial for clarity on market availability dates and pricing, and will update the article with the details after receiving them.
    Source: ASRock Industrial - 4X4 BOX-8040 Series PR



    More...

  7. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #11977

    Anandtech: The FSP Hydro Ti Pro 1000W PSU Review: Titanium Shines for FSP's Flagship

    Over the last year, we've been looking at increasingly intricate 1000W power supplies from prolific PSU maker FSP. These have included their 80Plus Gold-rated Hydro G Pro, as well as their 80Plus Platinum rated Hydro PTM X Pro. Today we're finally capping things off with a look at the crème de la crème of the Hydro series, the 80Plus Titanium rated Hydro Ti Pro.
    The flagship of the company's ATX PSU lineup, the Hydro Ti Pro is designed to demonstrate the apex of the company's design capabilities, offering ample power capacity while also achieving excellent energy efficiency and reliability. Which for a 1000W PSU means being able to support multiple GPUs and demanding overclocking conditions, all without wavering elsewhere. FSP's 80Plus Titanium certified unit stands out, in this regard, with its cutting-edge design and features tailored for longevity and consistent performance.
    As we explore the details of the FSP Hydro Ti Pro 1000W, we will examine every aspect of this PSU to determine if it meets the high expectations associated with FSP's legacy and satisfies the demands of advanced computing environments. As well, we'll be looking at how it compares to its Gold and Platinum-rated compatriots, to see just what buying a higher efficiency brings to the table, both in direct electrical efficiency and secondary attributes, such as component quality and fan noise.


    More...

  8. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #11978

    Anandtech: ASRock Industrial 4X4 BOX-7840U mini-PC Review: AMD Phoenix in an UCFF Ava

    AMD's Phoenix line of low-power processors for the notebook market has been lapped up by various second and third-tier mini-PC vendors in the Asian market. The platform's value proposition has proved to be excellent with the inclusion of Zen 4 cores and a RDNA3 integrated GPU, along with high-end I/O options such as dual USB4 ports. Companies like Beelink and MinisForum took the lead in bringing Phoenix to the desktop market. Their primary focus was on trying to extract the maximum performance from the processor by configuring it for a power limit of 65W - beyond the top end of the range suggested by AMD. ASRock Industrial is one of the first tier-one vendors to bring a Phoenix-based ultra-compact form-factor (UCFF) system into the market under the 4X4 BOX-series. The company sent over the flagship system in their 4X4 BOX-7040 series to put through our rigorous evaluation suite for SFF PCs. Similar to the previous AMD U-series-based 4X4 BOX systems, the 7040-series provides end-users with the flexibility to trade performance for fan noise. The 'Normal Mode' configures the package power limit to 28W, while the 'Performance Mode' drives that up to 40W (at the cost of operating the fan at full speed continuously). Read on for a detailed look at the performance profile and value proposition of the 4X4 BOX-7840U in both modes.


    More...

  9. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #11979

    Anandtech: InfiRay P2 Pro and TOPDON TC001 Thermal Cameras Capsule Review

    Low-cost infrared cameras have been flooding the market since FLIR and Seek Thermal opened up the consumer thermal camera market in the late 2010s. The rise of smartphones has enabled these manufacturers to take out a good chunk of the regular thermal camera cost, allowing them to focus on the core sensor and USB bridge alone. The consumer products from vendors like FLIR and Seek Thermal are limited by US laws to a 9 Hz frame rate. However, we have seen a new crop of thermal cameras from Asian vendors that don't seem to be subject to these limitations. Two vendors - InfiRay and TOPDON - reached out with review samples of their consumer-focused smartphone-attachable thermal cameras. Read on for a comparative look at the features of the InfiRay P2 Pro and the TOPDON TC001, with a focus on the user experience aspect.



    More...

  10. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #11980

    Anandtech: Seagate IronWolf Pro 22TB HDD Capsule Review

    Seagate's IronWolf Pro lineup of hard drives for network-attached storage units has consistently offered good value for money, particularly at the highest capacity points. I purchased two 22TB IronWolf Pro drives for production deployment late last year. As part of the burn-in testing prior to actual deployment, they were put through our evaluation routine for direct-attached storage drives in both internal and external (Thunderbolt 3 DAS) modes. Read on for a look at the performance you can expect from the drive in standalone, RAID 0, and RAID 1 modes.



    More...

Thread Information

Users Browsing this Thread

There are currently 20 users browsing this thread. (0 members and 20 guests)

Tags for this Thread

Bookmarks

Posting Permissions

  • You may not post new threads
  • You may not post replies
  • You may not post attachments
  • You may not edit your posts
  •  
Title