Page 711 of 1209 FirstFirst ... 211611661686701706707708709710711712713714715716721736761811 ... LastLast
Results 7,101 to 7,110 of 12089

Thread: Anandtech News

  1. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #7101

    Anandtech: Toshiba Selects Japan-U.S. Consortium As Preferred Buyer For Memory Busine

    Toshiba has selected a consortium as their preferred bidder in the sale of Toshiba's memory business. The consortium is led by the Innovation Network Corporation of Japan, an investment partnership between the Japanese government and 26 Japanese corporations. Toshiba hopes to have an agreement in place in time for their June 28 annual shareholder meeting and to close the deal by March 2018.
    Besides the Innovation Network Corp. of Japan (INCJ), the consortium also includes the Development Bank of Japan as well as Bain Capital Private Equity. INCJ is a public-private investment company owned by the government and 19 private corporations; it was established in 2009 with the purpose of revitalizing industry in the country. The company played a key role in establishment of Japan Display Inc. (JDI), which absorbed LCD divisions of Sony, Toshiba and Hitachi. Development Bank of Japan is an investment and financial services organization that is expected to be privatized eventually, but is currently used to fund everything from reinforcing competitiveness of enterprises to disaster relief. For Bain Capital Private Equity a partial acquisition of Toshiba's memory business would be the first investment in semiconductors as previously the company focused primarily on software and services (e.g., Symantec, Myob, etc.). From reports, it appears that Bain itself is being further backed by none other than Toshiba's rival in the memory business, SK Hynix.
    Toshiba said that the consortium presented the best proposal “not only in terms of valuation, but also in respect to certainty of closing, retention of employees and maintenance of sensitive technology within Japan.”
    Meanwhile, Western Digital continues to object to Toshiba's efforts to spin off and sell their portion of the Toshiba–SanDisk joint venture. Western Digital has not been able to keep pace in the bidding war for Toshiba's memory business, and they are seeking to intervene in any attempt by Toshiba to conduct a sale without consent from Western Digital's SanDisk subsidiary. In May, Western Digital initiated arbitration proceedings against Toshiba, and last week Western Digital filed for a preliminary injunction to prevent Toshiba from selling the memory business until the arbitration is resolved. A hearing on the injunction request is scheduled for July 14.
    A profitable sale of the memory business is crucial to Toshiba's financial health as other portions of the conglomerate are deeply troubled. Toshiba's Westinghouse nuclear power subsidiary filed for Chapter 11 bankruptcy earlier this year after an annual loss of around $9 billion. Those losses and continued effects from previous accounting scandals forced Toshiba to put their thriving flash memory manufacturing business on the market as the only way to raise enough money in a short timeframe. The winning bid for Toshiba's memory business is expected to be at least $18 billion. No matter who ends up buying the Toshiba memory business, the landscape of the flash memory market will be very different. Toshiba is currently the second-largest manufacturer of NAND flash memory, behind Samsung, with the sale coming at a time when all memory prices are spiking due to high demand.


    More...

  2. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #7102

    Anandtech: MSI Announces GeForce GTX 1080 Ti LIGHTNING Z

    After posting a teaser video last week, MSI has followed up by announcing their latest ultra-high-end Lightning-branded graphics card: the MSI GeForce GTX 1080 Ti LIGHTNING Z. The triple-slot-width, triple-fan, and triple-8-pin power connector card comes equipped with all the latest in thermal solutions, overclocking design, and shiny colors. Yes, for those hoping that ‘Lightning’ correlates with ‘lighting,’ the LIGHTNING Z comes LED-strewn and slickly-hewn with Mystic Light RGB control, backplate, and alternate colored shroud highlights.
    A key feature of the LIGHTNING Z is a BIOS switch that toggles “LN2 Mode,” which lifts power/current and thermal limits. The allure here is that for extreme overclockers used to hard volt-modding (with pencil or otherwise) can simply flick the switch when necessary. At the same time, MSI also advertises Military Class 4 components, as well as card features such as V-Check Points, a hardware-based voltage measurement design, and Quadruple Overvoltage, a specialized auxiliary voltage system.
    MSI GeForce GTX 1080 Ti LIGHTNING Z
    Boost Clock 1721MHz (Lightning Mode)
    1695MHz (Gaming Mode)
    1582MHz (Silent Mode)
    Base Clock 1607MHz (Lightning Mode)
    1582MHz (Gaming Mode)
    1480MHz (Silent Mode)
    Memory Clock 11124MHz (Lightning/Gaming Mode)
    11016MHz (Silent Mode)
    VRAM 11GB GDDR5X
    (352-bit)
    TDP 250W
    Outputs 2x DP1.4, 2x HDMI2.0b, 1x DL-DVI-D
    Power Connectors 3x 8pin
    Length 320mm
    Width 2.5 Slot (61mm)
    Weight 1.7kg
    Cooler Type Open Air
    Price TBA
    Keeping the beast cool is MSI’s Tri-Frozr design, armed with 3 TORX 2.0 Fans (1 x 9cm, 2 x 10cm). Alongside the main heatsink/heatpipe complex, the card has a flatter memory/MOSFET heatsink and heatpipe, as well as a rear heatpipe in between the PCB and backplate. The custom PCB itself possesses 10 layers, 14 GPU power phases, and 3 memory power phases.
    And as for Mystic Light, MSI’s LED control software enables users to synchronize and adjust lighting across devices, other components, and peripherals, even changing color schemes from the luxury of your smartphone.
    MSI has not released pricing information at this time. The LIGHTNING Z is “expected to be available in July.”
    Source: MSI
    Gallery: MSI Announces GeForce GTX 1080 Ti LIGHTNING Z



    More...

  3. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #7103

    Anandtech: Lexar Professional Workflow HR2 4-Bay Thunderbolt 2 / USB 3.0 Reader Hub R

    ?Content creators in the field often have to deal with large amounts of data spread over multiple flash media. Importing them into a computer for further processing has always been a challenge. Casual users can connect the cameras directly to a PC, while some might prefer taking the card out and using a card reader for this purpose. There are a multiple options available in the card reader market. However, professionals who value cutting down the media import time need to opt for readers with a USB 3.0 and/or Thunderbolt interface. Lexar has a range of card readers and a 4-bay hub (the Lexar Professional Workflow HR2) to go with them. In addition to reviewing the hub, we also take the opportunity to develop a framework for reviewing flash-based storage media for non-PC applications in this piece.

    More...

  4. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #7104

    Anandtech: Imagination Technologies Formally Puts Itself Up For Sale

    The fate of Imagination Technologies has become something of a saga in recent months. The prolific IP vendor, Apple’s right-hand supplier for GPU designs and IP over the last decade, found itself on the rocks in April, when Apple announced they would be transitioning away from using Imagination’s IP and designs. Then in May, the company announced that they would be doubling-down on the GPU business – their strongest business – by selling off their remaining Ensigma communications and MIPS CPU businesses. Now this morning, the company has announced that they have decided to instead focus on going another route, and will be putting the entire company up for sale.
    While the company as a whole was not formally up for sale until today, as you’d expect for a company in difficult circumstances like Imagination, that option has unofficially been on the table since the start. To that end, Imagination has reported that a number of parties have expressed an interest in buying the entire company. As noted in Imagination’s press release:
    Imagination Technologies Group plc (LSE: IMG, “Imagination”, “the Group”) announces that over the last few weeks it has received interest from a number of parties for a potential acquisition of the whole Group. The Board of Imagination has therefore decided to initiate a formal sale process for the Group and is engaged in preliminary discussions with potential bidders.
    At this time Imagination is not naming any suitors – and indeed is warning that a sale may not go through at all – though at this stage it’s difficult to imagine someone not taking advantage of the situation. Imagination’s PowerVR GPU IP alone is valuable to virtually all of the major SoC vendors, not to mention IP powerhouses and former customers such as Qualcomm, Intel, Samsung, and of course, Apple.
    Meanwhile the MIPS and Ensigma business have yet to be sold, and a buyer could opt to pick those businesses up too. Otherwise, for the time being, Imagination is continuing their efforts to sell of those businesses, and they have already received proposals for both.
    As for a potential price for the company, assuming Imagination were purchased wholesale, after today’s announcement the company’s market cap has jumped to £400M (~$500M USD). At about half of the company’s 52-week high, this would be significantly cheaper than had anyone attempted to purchase the company before the Apple split. The final price tag then would be somewhat higher, as a sale would almost certainly come with a premium over the company’s current stock price.
    Finally, while the company looks for potential buyers, they are also continuing their dispute with Apple. At last report, the companies were still going through their contractual dispute resolution process. It’s not clear whether this process would be completed before Imagination finds a buyer.



    More...

  5. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #7105

    Anandtech: TYAN Announces AMD EPYC TN70A-B8026 Server: 1P, 16 DIMMs, 26 SSDs, OCuLink

    TYAN introduced its first server and its first motherboard for AMD’s new EPYC processors. The company decided to take a cautious approach to AMD’s EPYC, and the initial machine will be a single-socket server for high-performance all-flash storage applications. Meanwhile, the new platforms from TYAN will be among the first applications to support OCuLink connections.
    The first TYAN platform based on the AMD EPYC 7000-series processor capitalizes on the CPU’s primary advantage besides its core count (up to 32): the number of integrated PCIe 3.0 lanes (up to 128) that can be used to connect NVMe SSDs without any external switches or controllers. The TYAN TN70A-B8026 server is based on the S8026 motherboard that has 16 DDR4 DIMMs slots (two modules are supported per channel, 1 TB of DDR4 in total), two M.2-22110 slots for SSDs (PCIe 3.0 x4) as well as eight SFF-8611 PCIe/OCuLink x8 connectors for 24 hot-swap SSDs in U.2 form-factor. In total, the server supports 26 PCIe 3.0 x4 SSDs as well as two SATA devices.
    The platform also supports five PCIe 3.0 x8 slots via 2U risers (these slots function when storage drives are not using their PCIe connections) as well as one PCIe 3.0 x16 OCP 2.0-capable slot for an EDR InfiniBand or a 100 GbE card. To support even the most power hungry components, TYAN equips its TN70A-B8026 with a redundant 770 W power supplies. As for management and networking, the machine is equipped with the AST2500 BMC with iKVM & Redfish support, two GbE ports (Broadcom BCM5720) for connectivity and one GbE for IPMI.

    TYAN does not say which SSDs it's going to use for the TN70A-B8026 and how many terabytes of storage in total the machine can support. What the company does say is that a pair of SFF-8611 OCuLink x8 connectors can be re-configured (from BIOS) to support up to 16 SATA 6 Gbps drives, which provides flexibility to server makers or value-add resellers, who plan to use the TYAN S8026 motherboard or the TN70A-B8026 server barebones. In fact, the latter fits into regular E-ATX supporting cases, so it can be used to build workstations with enhanced storage capabilities.
    TYAN TN70A-B8026 Server Barebones SKUs
    PCIe Slots Storage Bays PSU UPC
    B8026T70AV16E8HR 6 16 × 2.5" SATA
    8 × 2.5" NVMe
    770 W redundant 635872043727
    B8026T70AE24HR 2 24 × NVMe 635872043734
    TYAN did not announce MSRP or ETA for its TN70A-B8026 server as well as the S8026 motherboard. Since the server can be equipped with different CPUs and SSDs, its price can vary by orders of magnitude and it does not make a lot of sense to make guesses at this point. Considering that high-endurance/high-capacity SSDs are quite expensive, a fully populated TYAN TN70A-B8026 machine can easily cross the $100K mark.
    Related Reading:




    More...

  6. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #7106

    Anandtech: FreeTail EVOKE Series CompactFlash Cards Capsule Review

    Digital cameras and camcorders employ memory cards (flash-based removable media) for storage of captured content. There are different varieties of memory cards catering to various performance levels. CompactFlash (CF) became popular in the late 90s, but, has now been overtaken by Secure Digital (SD) cards. Despite that, cameras with CF card slots are still getting introduced into the market. Today, we will be taking a look at a couple of CF cards in the EVOKE series from FreeTail Tech.
    Introduction

    CompactFlash (CF) was introduced back in 1994 as a mass storage device format, and it turned out to be the most successful amongst the first set of such products. Electrically, it is based on a parallel ATA (PATA) interface, which means that there is hard transfer rate cap at 167 MBps. However, this is more than sufficient even for current-day 4K video encodes.
    ?Having been overtaken by Secure Digital (SD) cards in terms of volume shipment, the price per GB of CF cards is not very attractive. However, certain cameras leave the consumer with no choice. FreeTail Tech is aiming to serve this market segment with the EVOKE series of CF cards - the main push is in terms of value for money.
    ?There are two members in the EVOKE series - the EVOKE and EVOKE Pro. The former is the 800x variant, while the Pro is the 1066x variant. There are three capacity points in each - 64GB, 128GB, and 256GB. FreeTail sent over the 800x and 1006x 256GB models for review.
    Testbed Setup and Testing Methodology

    Evaluation of memory cards is done on Windows with the testbed outlined in the table below. The USB 3.1 Type-C port enabled by the Intel Alpine Ridge controller is used for benchmarking purposes on the testbed side. CF cards utilize the Lexar Professional Workflow CFR1 CompactFlash UDMA 7 USB 3.0 Reader. The reader was placed in the Lexar Professional Workflow HR2 hub and uplinked through its USB 3.0 port with the help of a USB 3.0 Type-A female to Type-C male cable.
    AnandTech DAS Testbed Configuration
    Motherboard GIGABYTE Z170X-UD5 TH ATX
    CPU Intel Core i5-6600K
    Memory G.Skill Ripjaws 4 F4-2133C15-8GRR
    32 GB ( 4x 8GB)
    DDR4-2133 @ 15-15-15-35
    OS Drive Samsung SM951 MZVPV256 NVMe 256 GB
    SATA Devices Corsair Neutron XT SSD 480 GB
    Intel SSD 730 Series 480 GB
    Add-on Card None
    Chassis Cooler Master HAF XB EVO
    PSU Cooler Master V750 750 W
    OS Windows 10 Pro x64
    Thanks to Cooler Master, GIGABYTE, G.Skill and Intel for the build components
    The full details of the reasoning behind choosing the above build components can be found here.
    Sequential Accesses

    FreeTail claims speeds of up to 160 MBps reads and 85 MBps writes for the 800x card. The 1066x one comes in at 160 MBps reads and 150 MBps writes. However, real-world speeds are bound to be lower. For most applications, that really doesn't matter as long as the card is capable of sustaining the maximum possible rate at which the camera it is used in dumps data. We use fio workloads to emulate typical camera recording conditions. We run the workload on a fresh card, and also after simulating extended usage. Instantaneous bandwidth numbers are graphed. This gives an idea of performance consistency (whether there is appreciable degradation in performance as the amount of pre-existing data increases and / or the card is subject to wear and tear in terms of amount and type of NAND writes). Further justification and details of the testing parameters are available here.
    Freetail 1066x 256GBFreetail 800x 256GBLexar 1066x 128GB Freetail 1066x 256GBFreetail 800x 256GBLexar 1066x 128GB
    In the fresh state, the card exhibits very good consistency. The 1066x variant shows that it can handle sustained writes at around 110 MBps, and reads around 135 MBps. The corresponding numbers for the 800x variant are 70 MBps and 130 MBps. The other card that we have evaluated before (the Lexar 1066x 128GB) shows better consistency with reads, though overall benchmark numbers are roughly the same between the two 1066x cards.
    ?In the used card scenario, we see that the 800x card has no trouble retaining write consistency, but the 1066x card would occasionally go down to around 80 MBps from the 110 MBps fresh performance number. The read is more interesting. Both cards start off with numbers similar to the fresh case (around 130 MBps), but, end up at around 100 MBps after reading around one-sixth of the card capacity. The Lexar 1066x card doesn't have any such issues
    AnandTech DAS Suite - Performance Consistency

    The AnandTech DAS Suite involves transferring large amounts of photos and videos to and from the storage device using robocopy. This is followed by selected workloads from PCMark 8's storage benchmark in order to evaluate scenarios such as importing media files directly into multimedia editing programs such as Adobe Photoshop. Details of these tests from the perspective of memory cards are available here.
    In this subsection, we deal with performance consistency while processing the robocopy segment. The graph below shows the read and write transfer rates to the memory card while the robocopy processes took place in the background. The data for writing to the card resides in a RAM drive in the testbed. The first three sets of writes and reads correspond to the photos suite. A small gap (for the transfer of the videos suite from the primary drive to the RAM drive) is followed by three sets for the next data set. Another small RAM-drive transfer gap is followed by three sets for the Blu-ray folder. The corresponding graphs for similar cards that we have evaluated before is available via the drop-down selection.
    Freetail 1066x 256GBFreetail 800x 256GBLexar 1066x 128GB
    Both cards show that they can sustain 25 MBps+ even with a large number of small files. Large files (typical videos) make the card exhibit their best performance.
    AnandTech DAS Suite - Bandwidth

    The average transfer rates for each workload from the previous section is graphed below. Readers can get a quantitative number to compare the Freetail 1066x 256GB CF card against the ones that we have evaluated before.






    The Lexar 1066x card has a slight edge in the write workloads, but reads often favor the FreeTail cards.
    We also look at the PCMark 8 storage bench numbers in the graphs below. Note that the bandwidth number reported in the results don't involve idle time compression. Results might appear low, but that is part of the workload characteristic. Note that the same testbed is being used for all memory cards. Therefore, comparing the numbers for each trace should be possible across different cards.








    Performance Restoration

    The traditional memory card use-case is to delete the files on it after the import process is completed. Some prefer to format the card either using the PC, or, through the options available in the camera menu. The first option is not a great one, given that flash-based storage devices run into bandwidth issues if garbage collection (processes such as TRIM) is not run regularly. Different memory cards have different ways to bring them to a fresh state.Based on our experience, CF cards have to be formatted after all the partitions are removed using the 'clean' command in diskpart.
    In order to test out the effectiveness of the performance restoration process, we run the default sequential workloads in CrystalDiskMark before and after the formatting. Note that this is at the end of all our benchmark runs, and the card is in a used state at the beginning of the process. The corresponding screenshots for similar cards that we have evaluated before is available via the drop-down selection.
    Freetail 1066x 256GBFreetail 800x 256GBLexar 1066x 128GB
    We find that CF cards don't have significant performance loss after being subject to our stress test. Therefore, the performance gain from the refresh process is also minimal across all our tested cards.
    Concluding Remarks

    The FreeTail 800x and 1066x cards perform as well as the Lexar 1066x cards for almost all relevant content capture workloads. The Lexar card does have the edge in some of the atypical benchmarks that are part of the PCMark 8 storage bench, but, it is highly unlikely that CF cards are going to be subject to such scenarios (SD cards are a different story, as they are often used in embedded systems and mobile devices).
    In addition to raw performance and consistency, pricing is also an important aspect. This is particularly important in the casual user and semi-professional markets, where the value for money metric often trumps benchmark numbers. The table below presents the relevant data for the Freetail 1066x and 800x 256GB CF cards and other similar ones that we have evaluated before. The cards are ordered by the $/GB metric.
    CF Cards - Pricing (as on June 15, 2017)
    Card Model Number Capacity (GB) Street Price (USD) Price per GB (USD/GB)
    FreeTail 800x 256GB FTCF256A08 256 145 0.57
    FreeTail 1066x 256GB FTCF256A10 256 171 0.67
    Lexar 1066x 128GB LCF128CRBNA1066 128 110 0.86
    We find that the FreeTaiil cards handily beat the Lexar one in the value proposition metric. Based on our testing, we have no qualms in recommending either FreeTail card for purchase. Semi-professional and casual users will find the pricing to be very attractive.
    FreeTail Tech is offering a 10% discount code on Amazon for AnandTech readers. Please enter the code ANAND101 at checkout
    Buy FreeTail Tech EVOKE Pro 1066x CF Card on Amazon.com


    More...

  7. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #7107

    Anandtech: TYAN Shows Two Skylake-SP-Based HPC Servers with Up to 8 Xeon Phi/Tesla Mo

    At ISC 17 this sweek, TYAN has demonstrated two new HPC servers based on the latest Intel Xeon processors for high-performance computing and deep learning workloads. The new HPC machines can integrate four or eight Intel Xeon Phi co-processors or the same number of NVIDIA Tesla compute cards, as well as over 10 storage devices.
    The new TYAN FT77D-B7109 and FT48B-B7100 are 4U dual-processor machines are compatible with Intel’s latest Xeon processors featuring the Skylake-SP (LGA3647) microarchitecture. Since Intel has not yet formally launched the aforementioned CPUs, TYAN has not yet opened up the servers, and little is known about their internal architecture.
    The higher-end TYAN FT77D-B7109 server uses a dual PCIe root complex topology (enabled by PLX PCIe switches) to support up to eight Intel Xeon Phi coprocessor modules or up to eight NVIDIA Tesla accelerators, depending on customer needs. Since the machine is positioned for HPC, AI, machine learning, and oil & gas exploration, expect them to have tens of DIMM slots for terabytes of DDR4 memory. The server can also fit 14 hot-swappable 2.5” storage devices with SATA 6 Gbps or U.2/NVMe interfaces (only four bays support U.2) that work in RAID 0, 1, 5 or 10 modes. As for connectivity, the FT77D-B7109 has two 10 GbE ports and a GbE port for IPMI.
    The TYAN FT48B-B7100 is a slightly different 4U/2P design that supports up to four Intel Xeon Phi or NVIDIA Tesla compute cards, as well as up to 10 hot-swappable 2.5” SAS/SATA storage devices operating in various RAID modes. TYAN is positioning the server as a cost-effective solution for research institutions, industrial automation and video capture applications, which is why it has seven PCIe x16 slots in general to fit additional cards for various I/O needs. The machine only has two GbE connections used for both networking and IPMI.
    In addition to HPC machines, TYAN also showcased two Intel Xeon Processor Scalable Family-based dual-socket cloud platforms. The smaller GT75B-B7102 is a 1U machine with up to 10 hot-swap 2.5” storage devices (including four U.2 drives). The larger TN76-B7102 is a 2U server supporting 12 hot-swap 2.5” SSDs or HDDs with a SATA or SAS interface.
    TYAN has not announced when exactly it plans to start selling the new servers, but expect the company to start rolling out its new units after Intel makes the new CPUs available later this summer.
    Related Reading:




    More...

  8. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #7108

    Anandtech: GlobalFoundries Details 7 nm Plans: Three Generations, 700 mm², HVM in 201

    Keeping an eye on the ever-evolving world of silicon lithography, GlobalFoundries has recently disclosed additional details about its 7 nm generation of process technologies. As announced last September, the company is going to have multiple generations of 7 nm FinFET fabrication processes, including those using EUV. GlobalFoundries now tells us that its 7LP (7 nm leading performance) technology will extend to three generations and will enable its customers to build chips that are up to 700 mm² in size. Manufacturing of the first chips using their 7LP fabrication process will ramp up in the second half of 2018.
    GlobalFoundries 7LP Platform
    7nm Gen 1 7nm Gen 2 7nm Gen 3
    Lithography DUV DUV + EUV DUV + EUV
    Key Features Increased performance, lower power, higher transistor density vs. 14LPP. Increased yields and lower cycle times. Performance, power and area refinements.
    Reasons for EUV insertion - To reduce usage of quadruple and triple patterning. To improve line-edge roughness, resolution, CD uniformity, etc.
    HVM Start 2H 2018 2019 (?) 2020 (?)
    7 nm DUV

    First and foremost, GlobalFoundries reiterated their specs of their first-gen 7 nm process, which involves deep ultraviolet (DUV) lithography with argon fluoride (ArF) excimer lasers operating on a 193 nm wavelength. The company’s 7 nm fabrication process is projected to bring over a 40% frequency potential over the 14LPP manufacturing technology that GlobalFoundries uses today, assuming the same transistor count and power. The tech will also reduce the power consumption of ICs by 60% at the same frequency and complexity.
    For their newest node, the company is focusing on two ways to reduce power consumption of the chips: implementing superior gate control, and reducing voltages. To that end, chips made using GlobalFoundries' 7LP technology will support 0.65 – 1 V, which is lower than ICs produced using the company’s 14LPP fabrication process today. In addition, 7LP semiconductors will feature numerous work-functions for gate control.

    When it comes to costs and scaling, the gains from 7LP are expected to be a bit atypical from the usual manufacturing process node advancement. On the one hand, the 7 nm DUV will enable over 50% scaling over 14LPP, which is not something surprising given the fact that the latter uses 20 nm BEOL interconnections. However, since 7 nm DUV involves more layers that require triple and quadruple patterning, according to the foundry the actual die cost reduction will be in the range between 30% and 45% depending on application.
    The 7 nm platform of GlobalFoundries is called 7LP for a reason — the company is targeting primarily high-performance applications, not just SoCs for smartphones, which contrasts to TSMC’s approach to 7 nm. GlobalFoundries intends to produce a variety of chips using the tech, including CPUs for high-performance computing, GPUs, mobile SoCs, chips for aerospace and defense, as well as automotive applications. That said, in addition to improved transistor density (up to 17 million gates per mm2 for mainstream designs) and frequency potential, GlobalFoundries also expects to increase the maximum die size of 7LP chips to approximately 700 mm², up from the roughly 650 mm² limit for ICs the company is producing today. In fact, when it comes to the maximum die sizes of chips, there are certain tools-related limitations.
    Advertised PPA Improvements of New Process Technologies
    Data announced by companies during conference calls, press briefings and in press releases
    GlobalFoundries
    7nm Gen 1
    vs 14LPP
    7nm Gen 2
    vs Gen 1
    7nm Gen 3
    vs Gen 1/2
    Power >60% same* lower
    Performance >40% same* higher
    Area Reduction >50% none yes
    *Better yields could enable fabless designers of semiconductors to bin chips for extra performance or lower power.
    GlobalFoundries has been processing test wafers using 7 nm process technology for clients for several quarters now. The company’s customers are already working on chips that will be made using 7 nm DUV process technology, and the company intends to start risk production of such ICs early in 2018. Right now, the clients are using the 0.5 version of GlobalFoundries' 7 nm process design kit (PDK), and later this year the foundry will release PDK v. 0.9, which will be nearly final version of the kit. Keep in mind that large customers of GlobalFoundries (such as AMD) do not need the final version of the PDK to start development of their CPUs or GPUs for a given node, hence, when GF talks about plans to commercialize its 7LP manufacturing process, it means primarily early adopters — large fabless suppliers of semiconductors.

    In addition to its PDKs, GlobalFoundries has a wide portfolio of licenses for ARM CPU IP, high-speed SerDes (including 112G), and 2.5D/3D packaging options for its 7LP platform. When it comes to large customers, GlobalFoundries is ready for commercial production of chips using its 7 nm DUV fabrication process in 2018.

    Fab 8 Ready for 7LP, Getting Ready for EUV

    Speaking of high volume manufacturing using their 7LP DUV process, it is necessary to note that earlier this year GlobalFoundries announced plans to increase the production capacity of their Fab 8. Right now, the output of Fab 8 is around 60,000 wafer starts per month (WSPM), and the company expects to increase it by 20% for 14LPP process technology after the enhancements are complete.
    The expansion does not involve physical enhancement of the building, which may indicate that the company intends to install more advanced scanners with increased output capabilities. GlobalFoundries naturally does not disclose details about the equipment it uses, but newer scanners with higher output and better overlay and focus performance will also play their role in HVM using 7 nm DUV that relies on quadruple patterning for select layers.

    In addition to more advanced ASML TWINSCAN NXT DUV equipment, GlobalFoundries plans to install two TWNSCAN NXE EUV scanners into the Fab 8 in the second half of this year. This is actually a big deal because current-generation fabs were not built with EUV tools in mind. Meanwhile, EUV equipment takes up more space than DUV equipment because of the light source and other aspects.

    EUV: Many Problems Solved, By Concerns Remain

    Usage of multi patterning for ultra-thin process technologies is one of the reason why the industry needs lithography that uses extreme ultraviolet wavelength of 13.5 nm. As avid readers know, the industry has been struggling to develop EUV tools suitable for HVM, and while significant progress has been made recently, EUV is still not quite up to scale. This is exactly why GlobalFoundries is taking a cautious approach to EUV that involves multiple generations. Keep in mind that GlobalFoundries does not seem to have official names for different iterations of its 7 nm process technologies. The only thing that the company is talking about now is its “7LP platform with EUV compatibility.” Therefore, all our generations-related musings here are just for a better understanding of what to expect.

    ASML has developed several generations of EUV scanners and has demonstrated light sources with 205 W of power. The latest TWINSCAN NXE scanners with recent upgrades have demonstrated an availability that exceeds 60%, which is good enough to start their deployment, according to GlobalFoundries. Eventually, availability is expected to increase to 90%, in line with DUV tools.
    Meanwhile, there are still concerns about protective pellicles (films) for EUV photomasks, mask defects, as well as EUV resists. On the one hand, current pellicles can handle productivity rates of up to 85 wafers per hour (WpH), which is well below 125 WpH planned for this year. Basically, this means that existing pellicles cannot handle powerful light sources required for HVM. Any defect on a pellicle can affect wafers and dramatically lower yields. Intel demonstrated pelliclized photomasks that could sustain over 200 wafer exposures, but we do not know when such pellicles are expected to enter mass production. On the other hand, powerful light sources are required for satisfactory line-edge roughness (LER) and local critical dimensions (CD) uniformity primarily because of imperfections of resists.
    7 nm EUV Gen 1: Improving Yields, Reducing Cycles

    Given all the EUV-related concerns, GlobalFoundries will start to insert EUV for select layers in a bid to reduce the usage of multi patterning (and eliminate quadruple patterning in general, if possible), thereby improving yields. At this time the company is not disclosing when it plans to start using EUV tools for manufacturing, only stating that they'll do so “when it is ready.” It is unlikely that EUV will be ready in 2018, so it is logical to expect the company to use EUV tools no sooner than 2019.
    Such approach makes a lot of sense because it enables GlobalFoundries to increase yields for its customers and to learn more about what it will take to get EUV ready for HVM. In the best-case scenario, GlobalFoundries will be able to produce designs developed for 7 nm DUV with multi patterning using its 7 nm EUV tech. However, one should keep in mind two factors. First, semiconductor developers release new products every year. Second, GlobalFoundries will begin to insert EUV tools into production at least a couple of quarters after the launch of the first 7 nm DUV chips. Therefore, it is highly likely that the first EUV-based chips produced at GlobalFoundries will be new designs rather than chips originally fabbed on the all-DUV process.

    7 nm EUV Gen 2: Higher Transistor Density and Line-Edge Roughness

    Depending how fast the industry addresses the current EUV challenges related to masks, pellicles, CD uniformity, LER and other things, GlobalFoundries will eventually roll out another generation of its 7 nm EUV process.
    The second-gen 7 nm EUV manufacturing technology from GlobalFoundries will feature improved LER and a better resolution, which the company hopes will enable higher transistor densities with lower power and/or higher performance. Though given the experimental nature of the tech behind this process, as you'd expect GlobalFoundries is not saying when certain problems are to be resolved and when it can offer appropriate services to its customers.
    Finally, 3rd Gen 7LP will likely introduce some new design rules to enable geometry scaling and/or higher frequencies/lower power, but in general I'm expecting that the transition to this process should be relatively seamless to IC designers. After all, the majority of layers will still use DUV. The only question is whether GlobalFoundries will need to install additional TWINSCAN NXE scanners into the Fab 8 for its 2nd Gen 7 nm EUV process technologies, which would also indicate that the number of layers processed using EUV had increased.
    5 nm EUV: Adjustable Gate-All-Around FETs

    A week before GlobalFoundries disclosed their 7LP platform plans, IBM and their Research Alliance partners (GlobalFoundries and Samsung) demonstrated a wafer processed using a 5 nm manufacturing process. ICs on the wafer were built using silicon nanosheet transistors (aka gate-all-around FETs [GAA FETs]) and it looks like they will be building blocks for semiconductors in the future. The big question of course is when.
    GAA FETs developed by IBM, GlobalFoundries, and Samsung stack silicon nanosheets in such a way that every transistor now has four gates. The key thing about GAA FETs is that the width of nanosheets can be adjusted within a single manufacturing process or even within the IC design to fine-tune performance or power consumption. When it comes to performance/power/area(PPA)-related improvements, IBM claims that when compared to a 10 nm manufacturing process, the 5 nm technology offers 40% performance improvement at the same power and complexity, or 75% power savings at the same frequency and complexity. However keep in mind that while IBM participates in the Alliance, announcements by IBM do not reflect the actual process technologies developed by GlobalFoundries or Samsung.

    IBM, GlobalFoundries, and Samsung claim that adjustments to GAA FETs were made using EUV, which is logical as the three companies use an ASML TWINSCAN NXE scanner at the SUNY Polytechnic Institute's NanoTech Complex (in Albany, NY) for their R&D work. Technically, it is possible to produce GAA FETs using DUV equipment (assuming that it is possible to get the right CD, LER, cycle times, etc.), but it remains to be seen how significantly the 5 nm process and designs will rely on EUV tools.
    Industry FinFET Lithography Roadmap, HVM Start
    Data announced by companies during conference calls, press briefings and in press releases
    2016 2017 2018 2019 2020 2021
    1H 2H 1H 2H 1H 2H 1H 2H
    GlobalFoundries 14LPP 7nm DUV 7nm with EUV* 5nm (?)
    Intel 14 nm
    14 nm+
    14 nm++
    10 nm
    10 nm+
    10 nm++
    Samsung 14LPP
    14LPC
    10LPE 10LPP 8LPP
    10LPU
    7LPP 6 nm* (?)
    SMIC 28 nm** 14 nm in development
    TSMC CLN16FF+ CLN16FFC CLN10FF
    CLN16FFC
    CLN7FF
    CLN12FFC
    CLN12FFC/
    CLN12ULP
    CLN7FF+ 5 nm* (?)
    UMC 28 nm** 14nm no data
    *Exact timing not announced
    **Planar
    Neither of the three members of the Research Alliance talked about timeframe of 5 nm HVM, but a wild guess would put 5 nm EUV in 2021 (if not later).

    Some Thoughts

    Wrapping things up, based on recent announcements it's looking increasingly likely that EUV will in fact make it out of the lab and intro high volume production. In just the past couple of weeks GlobalFoundries and two of its development partners have made several announcements regarding EUV in general, increasingly calling it a part of their future. This does not mean that they do not have a Plan B with multi patterning, but it looks like EUV is now a part of the mid-term future, not the long-term one. Still, it's telling that no one is giving a deadline for EUV beyond “when it is ready.”

    Just like GlobalFoundries said before (like other foundries), the insertion of EUV equipment into their manufacturing flow would be gradual. The company plans to install two scanners this year to use them for mass production several quarters down the road, but GlobalFoundries has not made any further announcements beyond that. Ultimately while the future for EUV is looking brighter, the technology is still not ready for prime time, and for the moment no one knows quite when it'll finally meet all of the necessary metrics for volume production.
    Finally, speaking of the 7LP platform in general, it is interesting that GlobalFoundries will be primarily targeting high-performance applications with the new technology, and not mobile SoCs like some other contract fabs. This despite the fact that the 7LP platform supports ultra-low voltages (0.65 V) and should be able to address mobile applications. So from a performance/power/area point of view, while the 7LP manufacturing process looks rather competitive, it remains to be seen just how GlobalFoundries’ partners will use the capabilities of the new process.
    Related Reading:



    More...

  9. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #7109

    Anandtech: Samsung Begins Production of Exynos i T200 SoC for Miniature IoT Devices

    Samsung on Thursday said it had begun to mass-produce its first SoC for miniature IoT devices, the Exynos i T200. Aimed at devices that do not need a lot of compute power, but require ultra-low standby power consumption, the first Exynos i SoC integrates processing, connectivity, security and other capabilities.
    The Samsung Exynos i T200 SoC uses one ARM Cortex-R4 CPU core and one ARM Cortex-M0+ CPU core for real time processing and microcontroller applications, with both cores running at 320MHz. For connectivity, the chip also contains a 802.11 b/g/n single-band (2.4 GHz) Wi-Fi controller and supports IoTivity protocol that enables interoperability between IoT devices over various protocols. In addition, Samsung’s Exynos i SoC has a security hardware block called the Security Sub-System (SSS) as well as a physical unclonable function (PUF) for secure data storage and device authentication.
    The Exynos i T200 chip is made using Samsung’s “low power 28 nm HKMG” process technology, but Samsung does not specify which one. As for packaging, the SoC comes in an FCBGA form-factor.
    Samsung did not indicate if and when it plans to start using the i T200 chip internally. Since Samsung also sells Exynos SoCs to third parties, it is possible that the Exynos i T200 ends up in devices made by other makers. As for pricing, the SoC uses a Cortex-R4 and a Cortex-M0+ cores, which are very small and optimized for low costs, therefore, it is unlikely that the Exynos i T200 will be expensive.
    Related Reading:




    More...

  10. RSS Bot FEED's Avatar
    Join Date
    09-07-07
    Posts
    34,799
    Post Thanks / Like
    #7110

    Anandtech: Memblaze Launches PBlaze5 SSDs: Enterprise 3D TLC, Up to 6 GB/s, 1M IOPS,

    Memblaze has introduced its new generation of server-class NVMe SSDs for mixed and mission critical workloads. The PBlaze5 SSDs are based around Micron's 3D eTLC memory and paired with a Microsemi Flashtec controller. The SSDs come in PCIe 3.0 x8 AIC or 2.5” U.2 form-factors, carry up to 11 TB of 3D TLC NAND, and feature sequential read performance of up to 6 GB/s as well as random read performance of up to 1M IOPS.
    The Memblaze PBlaze5 700 and 900-series SSDs are based on Microsemi’s Flashtec PM8607 NVMe2016 controller that features 16 compute cores, 32 NAND flash channels, and supports everything one might expect from a contemporary SoC for server SSDs (LDPC 550 bit/4KB ECC with a 1x10-17 bit error rate, NVMe 1.2a, AES-256 PCIe 3.0 x8/PCIe 3.0 x4 dual-port, etc.) along with a host of enterprise-grade features. Memblaze further outfits the card with their own MemSpeed 3.0 as well as MemSolid 3.0 firmware-based technologies. The MemSpeed 3.0 feature better ensures consistent performance and QoS, and comes with further priority que management optimizations over the previous version. As for the MemSolid 3.0, it is a stack of reliability and security features of the PBlaze5 900-series drives, which we are going to touch upon later.
    Both the 700 and 900 series drives use the same kind of memory — Micron’s 32-layer 3D eTLC NAND flash (384 Gb). Memblaze tells us that the 3D eTLC memory offers higher endurance and reliability, but it does not go beyond that.
    Given the same controller and the same kind of memory, performance and power consumption numbers for the PBlaze5 700 and 900-series SSDs are close (the 900-series offers 50% higher random write performance). The 2.5" drive form-factor PBlaze5 D700/D900 feature sequential read speeds of up to 3.2 GB/s, sequential write speeds of up to 2.4 GB/s, as well as up to 760K random read IOPS. The PCIe card-based PBlaze5 C700/C900 offer considerably higher performance numbers due to two times wider interface (PCIe 3.0 x8): sequential reads up to 6 GB/s, sequential writes up to 2.4 GB/s, and 1.042M read IOPS, respectively. As for power consumption, all the drives use from 7 to 25 W of power, depending on the configuration, workload and settings. However, the similarities between the PBlaze5 700 and 900-series SSDs end here.

    Gallery: Memblaze PBlaze5 900


    The PBlaze5 700 drives are designed for datacenters that require maximum performance, high density and capacity at low power and moderate costs. That said, the PBlaze 700-series are rated for 1 DPWD for five years and come with reliability features that are consistent with other SSDs for hyperscale datacenters.
    By contrast, the PBlaze5 900-series drives are aimed at mission critical environments (databases, financial transactions, analytics, etc.) that need enhanced reliability. In addition to extended error correction code (with a 1x10-17 bit error rate), the PBlaze 900-series also supports T10 Data Integrity Field (DIF)-compliant end-to-end data path protection, which results in a Silent Bit Error Rate (SBER) lower than 10-23. In addition, the 900-series takes full advantage of all MemSolid 3.0 enhancements offering features like crypto erase, background scan protection, firmware encryption (one of the first SSDs to support this feature), whole disk encryption, metadata protection, read disturb protection, dual-port capability (U.2 drives only), and so on. For those who need to precisely manage the power consumption of their SSDs, the MemSolid 3.0-based drives offer distinct 15, 20 and 25 W modes. As for endurance, Memblaze guarantees 3 DPWD over five years for its PBlaze5 900-series SSDs.
    [TABLE="width: 100%"]
    [TR="class: tgrey"]
    [TD="colspan: 6, align: center"]Memblaze PBlaze5 Series Specifications[/TD]
    [/TR]
    [TR="class: tlblue"]
    [TD="colspan: 2"] [/TD]
    [TD="colspan: 1"]PBlaze5 D700[/TD]
    [TD]PBlaze5 C700[/TD]
    [TD="colspan: 1"]PBlaze5 D900[/TD]
    [TD]PBlaze5 C900[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]Form Factors[/TD]
    [TD="align: center"]2.5" U.2 Drive[/TD]
    [TD="align: center"]HHHL AIC[/TD]
    [TD="align: center"]2.5" U.2 Drive[/TD]
    [TD="align: center"]HHHL AIC[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]Interface[/TD]
    [TD="align: center"]PCIe 3.0 x4[/TD]
    [TD="align: center"]PCIe 3.0 x8[/TD]
    [TD="align: center"]PCIe 3.0 x4[/TD]
    [TD="align: center"]PCIe 3.0 x8[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]Capacities[/TD]
    [TD="colspan: 2, align: center"]2 TB
    3.6 TB
    4 TB
    8 TB
    11 TB[/TD]
    [TD="colspan: 2, align: center"]2 TB
    3.2 TB
    4 TB
    8 TB[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]Controller[/TD]
    [TD="colspan: 4, align: center"]Microsemi Flashtec PM8607 NVMe2016[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]Protocol[/TD]
    [TD="colspan: 4, align: center"]NVMe 1.2a[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]NAND[/TD]
    [TD="colspan: 4, align: center"]3D Enterprise TLC NAND memory[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]Sequential Read[/TD]
    [TD="colspan: 1, align: center"]3.2 GB/s[/TD]
    [TD="align: center"]6 GB/s[/TD]
    [TD="colspan: 1, align: center"]3.2 GB/s[/TD]
    [TD="align: center"]6 GB/s[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]Sequential Write[/TD]
    [TD="colspan: 1, align: center"]2.4 GB/s[/TD]
    [TD="align: center"]2.4 GB/s[/TD]
    [TD="colspan: 1, align: center"]2.4 GB/s[/TD]
    [TD="align: center"]2.4 GB/s[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]Random Read (4 KB) IOPS[/TD]
    [TD="colspan: 1, align: center"]760,000[/TD]
    [TD="align: center"]1,042,000[/TD]
    [TD="colspan: 1, align: center"]760,000[/TD]
    [TD="align: center"]1,042,000[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]Random Write (4 KB) IOPS[/TD]
    [TD="colspan: 2, align: center"]210,000[/TD]
    [TD="colspan: 2, align: center"]304,000[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]Latency Read[/TD]
    [TD="colspan: 4, align: center"]90 µs[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]Latency Write[/TD]
    [TD="colspan: 4, align: center"]15 µs[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 1"]Power[/TD]
    [TD="class: tlgrey"]Idle[/TD]
    [TD="colspan: 4, align: center"]7 W[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey"]Operating[/TD]
    [TD="colspan: 4, align: center"]23 W[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]ECC[/TD]
    [TD="colspan: 4, align: center"]LDPC 550 bit/4 KB[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]Endurance[/TD]
    [TD="colspan: 2, align: center"]1 DWPD[/TD]
    [TD="colspan: 2, align: center"]3 DWPD[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]Dual-Port Support[/TD]
    [TD="colspan: 2, align: center"]-[/TD]
    [TD="align: center"]+[/TD]
    [TD="align: center"]-[/TD]
    [/TR]
    [TR]
    [TD="class: tlgrey, colspan: 2"]Uncorrectable Bit Error Rate[/TD]
    [TD="colspan: 4, align: center"]

Thread Information

Users Browsing this Thread

There are currently 22 users browsing this thread. (0 members and 22 guests)

Tags for this Thread

Bookmarks

Posting Permissions

  • You may not post new threads
  • You may not post replies
  • You may not post attachments
  • You may not edit your posts
  •  
Title